BSDL Files Library for JTAG

The only free public library that contains thousands of BSDL (Boundary Scan Description Language) models to use with BScan/JTAG tools

BSDL model: XC6VHX380T latest version

-- (c) Copyright 2008 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of 
-- liability) for any loss or damage of any kind or nature
-- releated to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitiations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- BSDL file for device XC6VHX380T, package DIE_BOND
-- Generated by bsdlnet Version 1.4
------------------------------------------------------------------------
-- Modification History
-- | Generated on 12/10/08
-- | CR # N/A
-- | Details -  Initial Release
------------------------------------------------------------------------
-- | Generated on 3/16/08
-- | CR # N/A
-- | Details -  Modified AIO as per feedback from JTAG Tech.
------------------------------------------------------------------------
-- | Generated on 3/20/08
-- | CR # 513097
-- | Details -  Changed ISC_PROGRAM_SECURITY to ISC_PROGRAM_KEY
------------------------------------------------------------------------
-- | Generated on 5/20/09
-- | CR # N/A
-- | Details -  Changed HSWAPEN to safe value 0 (vs X).
------------------------------------------------------------------------
-- | Generated on 7/9/09
-- | CR # N/A
-- | Details -  Removed AC_SELX internal register from GTH, and re-ordered
-- |		the GTH boundary registers.
------------------------------------------------------------------------
-- | Generated on 7/29/09
-- | CR # 527514
-- | Details -  Updated tap scan clk frequencey from 33MHz to 66MHz
-- |		to match data sheet.  Also added AIO attributes for HXT.
------------------------------------------------------------------------
-- | Generated on 9/30/09
-- | CR # 532987
-- | Details -  Updated GTH power pin names to be consistent with other
-- |		Xilinx families.
------------------------------------------------------------------------
-- | Generated on 1/19/10
-- | CR # 545171
-- | Details -  Added design warning for TCK min freq at 0C.
------------------------------------------------------------------------
--
-- For technical support, http://support.xilinx.com -> enter text 'bsdl'
-- in the text search box at the left of the page.  If none of
-- these records resolve your problem you should open a web support case
-- or contact our technical support at:
--
--	North America	1-800-255-7778		hotline@xilinx.com
--	United Kingdom	+44 870 7350 610	eurosupport@xilinx.com
--	France		(33) 1 3463 0100	eurosupport@xilinx.com
--	Germany		(49) 89 991 54930	eurosupport@xilinx.com
--	Japan		(81) 3-3297-9163	jhotline@xilinx.com
--
-- This BSDL file reflects the pre-configuration JTAG behavior. To reflect
-- the post-configuration JTAG behavior (if any), edit this file as described
-- below. Many of these changes are demonstrated by commented-out template
-- lines preceeding the lines they would replace:
--
-- 1. Enable USER instructions as appropriate (see below).
-- 2. Set disable result of all pads as configured.
-- 3. Set safe state of boundary cells as necessary.
-- 4. Rename entity if necessary to avoid name collisions.
-- 5. Modify USERCODE value in USERCODE_REGISTER declaration.
--
-- To prevent losing the current configuration, the boundary scan
-- test vectors should keep the PROGRAM_B pin high.
--
-- PROGRAM_B can only be captured, not updated.  The value
-- at the pin is always used by the device.
--
-- All IOBs prior to configuration, and unused and output-only IOBs following
-- configuration, will sense their pad values during boundary-scan with an CMOS
-- input buffer. In order to properly capture a logic high value at one
-- of these IOBs into its input boundary scan cell, please refer to the
-- datasheet and user guide for proper input levels.
--
-- For post-configuration boundary scan only: If an IOB is configured to use
-- an input standard that uses VREF pins, then the boundary scan test vectors
-- must keep the used VREF pins 3-stated.
--
-- HSWAPEN should be set low for this file.

----------------------------------

-- BSDL File for P1149.6 Standard.

----------------------------------
-- ----------------------------------------------------------------------
-- This BSDL file has been checked and verified by JTAG Technologies B.V.
-- on 2010-01-19, for syntactical and semantic compliance with
-- IEEE standards 1149.1 and 1149.6
-- using bsdl32.dll  1.6.0.5 - 20090604 Win32
-- copyright (c) 2009 JTAG Technologies B.V., All rights reserved
-- ----------------------------------------------------------------------

entity XC6VHX380T is

-- Generic Parameter

generic (PHYSICAL_PIN_MAP : string := "DIE_BOND" );

-- Logical Port Description

port (
	CCLK_0: inout bit;
	CSI_B_0: in bit;
	DIN_0: in bit;
	DONE_0: inout bit;
	DOUT_BUSY_0: out bit;
	GND: linkage bit;
	GND_MON: linkage bit;
	GND_PLL_BOT50: linkage bit;
	GND_PLL_BOT51: linkage bit;
	GND_PLL_BOT52: linkage bit;
	GND_PLL_BOT53: linkage bit;
	GND_PLL_BOT54: linkage bit;
	GND_PLL_BOT55: linkage bit;
	GND_PLL_BOT56: linkage bit;
	GND_PLL_BOT57: linkage bit;
	GND_PLL_BOT58: linkage bit;
	GND_PLL_TOP50: linkage bit;
	GND_PLL_TOP51: linkage bit;
	GND_PLL_TOP52: linkage bit;
	GND_PLL_TOP53: linkage bit;
	GND_PLL_TOP54: linkage bit;
	GND_PLL_TOP55: linkage bit;
	GND_PLL_TOP56: linkage bit;
	GND_PLL_TOP57: linkage bit;
	GND_PLL_TOP58: linkage bit;
	HSWAPEN: in bit; --  HSWAPEN_0
	INIT_B_0: inout bit;
	M0_0: in bit;
	M1_0: in bit;
	M2_0: in bit;
	MGTAMON_106: linkage bit;
	MGTAMON_107: linkage bit;
	MGTAMON_108: linkage bit;
	MGTAMON_116: linkage bit;
	MGTAMON_117: linkage bit;
	MGTAMON_118: linkage bit;
	MGTAVCC_100: linkage bit;
	MGTAVCC_101: linkage bit;
	MGTAVCC_102: linkage bit;
	MGTAVCC_103: linkage bit;
	MGTAVCC_104: linkage bit;
	MGTAVCC_105: linkage bit;
	MGTAVCC_110: linkage bit;
	MGTAVCC_111: linkage bit;
	MGTAVCC_112: linkage bit;
	MGTAVCC_113: linkage bit;
	MGTAVCC_114: linkage bit;
	MGTAVCC_115: linkage bit;
	MGTAVSS: linkage bit;
	MGTAVSS_L: linkage bit;
	MGTAVTTRCAL_100: linkage bit;
	MGTAVTTRCAL_101: linkage bit;
	MGTAVTTRCAL_102: linkage bit;
	MGTAVTTRCAL_103: linkage bit;
	MGTAVTTRCAL_104: linkage bit;
	MGTAVTTRCAL_105: linkage bit;
	MGTAVTTRCAL_110: linkage bit;
	MGTAVTTRCAL_111: linkage bit;
	MGTAVTTRCAL_112: linkage bit;
	MGTAVTTRCAL_113: linkage bit;
	MGTAVTTRCAL_114: linkage bit;
	MGTAVTTRCAL_115: linkage bit;
	MGTAVTTRX_100: linkage bit;
	MGTAVTTRX_101: linkage bit;
	MGTAVTTRX_102: linkage bit;
	MGTAVTTRX_103: linkage bit;
	MGTAVTTRX_104: linkage bit;
	MGTAVTTRX_105: linkage bit;
	MGTAVTTRX_110: linkage bit;
	MGTAVTTRX_111: linkage bit;
	MGTAVTTRX_112: linkage bit;
	MGTAVTTRX_113: linkage bit;
	MGTAVTTRX_114: linkage bit;
	MGTAVTTRX_115: linkage bit;
	MGTAVTTTX_100: linkage bit;
	MGTAVTTTX_101: linkage bit;
	MGTAVTTTX_102: linkage bit;
	MGTAVTTTX_103: linkage bit;
	MGTAVTTTX_104: linkage bit;
	MGTAVTTTX_105: linkage bit;
	MGTAVTTTX_110: linkage bit;
	MGTAVTTTX_111: linkage bit;
	MGTAVTTTX_112: linkage bit;
	MGTAVTTTX_113: linkage bit;
	MGTAVTTTX_114: linkage bit;
	MGTAVTTTX_115: linkage bit;
	MGTDMOND_106: linkage bit;
	MGTDMOND_107: linkage bit;
	MGTDMOND_108: linkage bit;
	MGTDMOND_116: linkage bit;
	MGTDMOND_117: linkage bit;
	MGTDMOND_118: linkage bit;
	MGTDMON_106: linkage bit;
	MGTDMON_107: linkage bit;
	MGTDMON_108: linkage bit;
	MGTDMON_116: linkage bit;
	MGTDMON_117: linkage bit;
	MGTDMON_118: linkage bit;
	MGTRBIAS_106: linkage bit;
	MGTRBIAS_107: linkage bit;
	MGTRBIAS_108: linkage bit;
	MGTRBIAS_116: linkage bit;
	MGTRBIAS_117: linkage bit;
	MGTRBIAS_118: linkage bit;
	MGTREFCLK0N_100: linkage bit;
	MGTREFCLK0N_101: linkage bit;
	MGTREFCLK0N_102: linkage bit;
	MGTREFCLK0N_103: linkage bit;
	MGTREFCLK0N_104: linkage bit;
	MGTREFCLK0N_105: linkage bit;
	MGTREFCLK0N_110: linkage bit;
	MGTREFCLK0N_111: linkage bit;
	MGTREFCLK0N_112: linkage bit;
	MGTREFCLK0N_113: linkage bit;
	MGTREFCLK0N_114: linkage bit;
	MGTREFCLK0N_115: linkage bit;
	MGTREFCLK0P_100: linkage bit;
	MGTREFCLK0P_101: linkage bit;
	MGTREFCLK0P_102: linkage bit;
	MGTREFCLK0P_103: linkage bit;
	MGTREFCLK0P_104: linkage bit;
	MGTREFCLK0P_105: linkage bit;
	MGTREFCLK0P_110: linkage bit;
	MGTREFCLK0P_111: linkage bit;
	MGTREFCLK0P_112: linkage bit;
	MGTREFCLK0P_113: linkage bit;
	MGTREFCLK0P_114: linkage bit;
	MGTREFCLK0P_115: linkage bit;
	MGTREFCLK1N_100: linkage bit;
	MGTREFCLK1N_101: linkage bit;
	MGTREFCLK1N_102: linkage bit;
	MGTREFCLK1N_103: linkage bit;
	MGTREFCLK1N_104: linkage bit;
	MGTREFCLK1N_105: linkage bit;
	MGTREFCLK1N_110: linkage bit;
	MGTREFCLK1N_111: linkage bit;
	MGTREFCLK1N_112: linkage bit;
	MGTREFCLK1N_113: linkage bit;
	MGTREFCLK1N_114: linkage bit;
	MGTREFCLK1N_115: linkage bit;
	MGTREFCLK1P_100: linkage bit;
	MGTREFCLK1P_101: linkage bit;
	MGTREFCLK1P_102: linkage bit;
	MGTREFCLK1P_103: linkage bit;
	MGTREFCLK1P_104: linkage bit;
	MGTREFCLK1P_105: linkage bit;
	MGTREFCLK1P_110: linkage bit;
	MGTREFCLK1P_111: linkage bit;
	MGTREFCLK1P_112: linkage bit;
	MGTREFCLK1P_113: linkage bit;
	MGTREFCLK1P_114: linkage bit;
	MGTREFCLK1P_115: linkage bit;
	MGTREFCLKN_106: linkage bit;
	MGTREFCLKN_107: linkage bit;
	MGTREFCLKN_108: linkage bit;
	MGTREFCLKN_116: linkage bit;
	MGTREFCLKN_117: linkage bit;
	MGTREFCLKN_118: linkage bit;
	MGTREFCLKP_106: linkage bit;
	MGTREFCLKP_107: linkage bit;
	MGTREFCLKP_108: linkage bit;
	MGTREFCLKP_116: linkage bit;
	MGTREFCLKP_117: linkage bit;
	MGTREFCLKP_118: linkage bit;
	MGTRREF_100: linkage bit;
	MGTRREF_101: linkage bit;
	MGTRREF_102: linkage bit;
	MGTRREF_103: linkage bit;
	MGTRREF_104: linkage bit;
	MGTRREF_105: linkage bit;
	MGTRREF_110: linkage bit;
	MGTRREF_111: linkage bit;
	MGTRREF_112: linkage bit;
	MGTRREF_113: linkage bit;
	MGTRREF_114: linkage bit;
	MGTRREF_115: linkage bit;
	MGTRXN0_100: in bit;
	MGTRXN0_101: in bit;
	MGTRXN0_102: in bit;
	MGTRXN0_103: in bit;
	MGTRXN0_104: in bit;
	MGTRXN0_105: in bit;
	MGTRXN0_106: in bit;
	MGTRXN0_107: in bit;
	MGTRXN0_108: in bit;
	MGTRXN0_110: in bit;
	MGTRXN0_111: in bit;
	MGTRXN0_112: in bit;
	MGTRXN0_113: in bit;
	MGTRXN0_114: in bit;
	MGTRXN0_115: in bit;
	MGTRXN0_116: in bit;
	MGTRXN0_117: in bit;
	MGTRXN0_118: in bit;
	MGTRXN1_100: in bit;
	MGTRXN1_101: in bit;
	MGTRXN1_102: in bit;
	MGTRXN1_103: in bit;
	MGTRXN1_104: in bit;
	MGTRXN1_105: in bit;
	MGTRXN1_106: in bit;
	MGTRXN1_107: in bit;
	MGTRXN1_108: in bit;
	MGTRXN1_110: in bit;
	MGTRXN1_111: in bit;
	MGTRXN1_112: in bit;
	MGTRXN1_113: in bit;
	MGTRXN1_114: in bit;
	MGTRXN1_115: in bit;
	MGTRXN1_116: in bit;
	MGTRXN1_117: in bit;
	MGTRXN1_118: in bit;
	MGTRXN2_100: in bit;
	MGTRXN2_101: in bit;
	MGTRXN2_102: in bit;
	MGTRXN2_103: in bit;
	MGTRXN2_104: in bit;
	MGTRXN2_105: in bit;
	MGTRXN2_106: in bit;
	MGTRXN2_107: in bit;
	MGTRXN2_108: in bit;
	MGTRXN2_110: in bit;
	MGTRXN2_111: in bit;
	MGTRXN2_112: in bit;
	MGTRXN2_113: in bit;
	MGTRXN2_114: in bit;
	MGTRXN2_115: in bit;
	MGTRXN2_116: in bit;
	MGTRXN2_117: in bit;
	MGTRXN2_118: in bit;
	MGTRXN3_100: in bit;
	MGTRXN3_101: in bit;
	MGTRXN3_102: in bit;
	MGTRXN3_103: in bit;
	MGTRXN3_104: in bit;
	MGTRXN3_105: in bit;
	MGTRXN3_106: in bit;
	MGTRXN3_107: in bit;
	MGTRXN3_108: in bit;
	MGTRXN3_110: in bit;
	MGTRXN3_111: in bit;
	MGTRXN3_112: in bit;
	MGTRXN3_113: in bit;
	MGTRXN3_114: in bit;
	MGTRXN3_115: in bit;
	MGTRXN3_116: in bit;
	MGTRXN3_117: in bit;
	MGTRXN3_118: in bit;
	MGTRXP0_100: in bit;
	MGTRXP0_101: in bit;
	MGTRXP0_102: in bit;
	MGTRXP0_103: in bit;
	MGTRXP0_104: in bit;
	MGTRXP0_105: in bit;
	MGTRXP0_106: in bit;
	MGTRXP0_107: in bit;
	MGTRXP0_108: in bit;
	MGTRXP0_110: in bit;
	MGTRXP0_111: in bit;
	MGTRXP0_112: in bit;
	MGTRXP0_113: in bit;
	MGTRXP0_114: in bit;
	MGTRXP0_115: in bit;
	MGTRXP0_116: in bit;
	MGTRXP0_117: in bit;
	MGTRXP0_118: in bit;
	MGTRXP1_100: in bit;
	MGTRXP1_101: in bit;
	MGTRXP1_102: in bit;
	MGTRXP1_103: in bit;
	MGTRXP1_104: in bit;
	MGTRXP1_105: in bit;
	MGTRXP1_106: in bit;
	MGTRXP1_107: in bit;
	MGTRXP1_108: in bit;
	MGTRXP1_110: in bit;
	MGTRXP1_111: in bit;
	MGTRXP1_112: in bit;
	MGTRXP1_113: in bit;
	MGTRXP1_114: in bit;
	MGTRXP1_115: in bit;
	MGTRXP1_116: in bit;
	MGTRXP1_117: in bit;
	MGTRXP1_118: in bit;
	MGTRXP2_100: in bit;
	MGTRXP2_101: in bit;
	MGTRXP2_102: in bit;
	MGTRXP2_103: in bit;
	MGTRXP2_104: in bit;
	MGTRXP2_105: in bit;
	MGTRXP2_106: in bit;
	MGTRXP2_107: in bit;
	MGTRXP2_108: in bit;
	MGTRXP2_110: in bit;
	MGTRXP2_111: in bit;
	MGTRXP2_112: in bit;
	MGTRXP2_113: in bit;
	MGTRXP2_114: in bit;
	MGTRXP2_115: in bit;
	MGTRXP2_116: in bit;
	MGTRXP2_117: in bit;
	MGTRXP2_118: in bit;
	MGTRXP3_100: in bit;
	MGTRXP3_101: in bit;
	MGTRXP3_102: in bit;
	MGTRXP3_103: in bit;
	MGTRXP3_104: in bit;
	MGTRXP3_105: in bit;
	MGTRXP3_106: in bit;
	MGTRXP3_107: in bit;
	MGTRXP3_108: in bit;
	MGTRXP3_110: in bit;
	MGTRXP3_111: in bit;
	MGTRXP3_112: in bit;
	MGTRXP3_113: in bit;
	MGTRXP3_114: in bit;
	MGTRXP3_115: in bit;
	MGTRXP3_116: in bit;
	MGTRXP3_117: in bit;
	MGTRXP3_118: in bit;
	MGTSLVDDARX0_106: linkage bit;
	MGTSLVDDARX0_107: linkage bit;
	MGTSLVDDARX0_108: linkage bit;
	MGTSLVDDARX0_116: linkage bit;
	MGTSLVDDARX0_117: linkage bit;
	MGTSLVDDARX0_118: linkage bit;
	MGTSLVDDARX1_106: linkage bit;
	MGTSLVDDARX1_107: linkage bit;
	MGTSLVDDARX1_108: linkage bit;
	MGTSLVDDARX1_116: linkage bit;
	MGTSLVDDARX1_117: linkage bit;
	MGTSLVDDARX1_118: linkage bit;
	MGTSLVTTX0_106: linkage bit;
	MGTSLVTTX0_107: linkage bit;
	MGTSLVTTX0_108: linkage bit;
	MGTSLVTTX0_116: linkage bit;
	MGTSLVTTX0_117: linkage bit;
	MGTSLVTTX0_118: linkage bit;
	MGTSLVTTX1_106: linkage bit;
	MGTSLVTTX1_107: linkage bit;
	MGTSLVTTX1_108: linkage bit;
	MGTSLVTTX1_116: linkage bit;
	MGTSLVTTX1_117: linkage bit;
	MGTSLVTTX1_118: linkage bit;
	MGTTXN0_100: buffer bit;
	MGTTXN0_101: buffer bit;
	MGTTXN0_102: buffer bit;
	MGTTXN0_103: buffer bit;
	MGTTXN0_104: buffer bit;
	MGTTXN0_105: buffer bit;
	MGTTXN0_106: buffer bit;
	MGTTXN0_107: buffer bit;
	MGTTXN0_108: buffer bit;
	MGTTXN0_110: buffer bit;
	MGTTXN0_111: buffer bit;
	MGTTXN0_112: buffer bit;
	MGTTXN0_113: buffer bit;
	MGTTXN0_114: buffer bit;
	MGTTXN0_115: buffer bit;
	MGTTXN0_116: buffer bit;
	MGTTXN0_117: buffer bit;
	MGTTXN0_118: buffer bit;
	MGTTXN1_100: buffer bit;
	MGTTXN1_101: buffer bit;
	MGTTXN1_102: buffer bit;
	MGTTXN1_103: buffer bit;
	MGTTXN1_104: buffer bit;
	MGTTXN1_105: buffer bit;
	MGTTXN1_106: buffer bit;
	MGTTXN1_107: buffer bit;
	MGTTXN1_108: buffer bit;
	MGTTXN1_110: buffer bit;
	MGTTXN1_111: buffer bit;
	MGTTXN1_112: buffer bit;
	MGTTXN1_113: buffer bit;
	MGTTXN1_114: buffer bit;
	MGTTXN1_115: buffer bit;
	MGTTXN1_116: buffer bit;
	MGTTXN1_117: buffer bit;
	MGTTXN1_118: buffer bit;
	MGTTXN2_100: buffer bit;
	MGTTXN2_101: buffer bit;
	MGTTXN2_102: buffer bit;
	MGTTXN2_103: buffer bit;
	MGTTXN2_104: buffer bit;
	MGTTXN2_105: buffer bit;
	MGTTXN2_106: buffer bit;
	MGTTXN2_107: buffer bit;
	MGTTXN2_108: buffer bit;
	MGTTXN2_110: buffer bit;
	MGTTXN2_111: buffer bit;
	MGTTXN2_112: buffer bit;
	MGTTXN2_113: buffer bit;
	MGTTXN2_114: buffer bit;
	MGTTXN2_115: buffer bit;
	MGTTXN2_116: buffer bit;
	MGTTXN2_117: buffer bit;
	MGTTXN2_118: buffer bit;
	MGTTXN3_100: buffer bit;
	MGTTXN3_101: buffer bit;
	MGTTXN3_102: buffer bit;
	MGTTXN3_103: buffer bit;
	MGTTXN3_104: buffer bit;
	MGTTXN3_105: buffer bit;
	MGTTXN3_106: buffer bit;
	MGTTXN3_107: buffer bit;
	MGTTXN3_108: buffer bit;
	MGTTXN3_110: buffer bit;
	MGTTXN3_111: buffer bit;
	MGTTXN3_112: buffer bit;
	MGTTXN3_113: buffer bit;
	MGTTXN3_114: buffer bit;
	MGTTXN3_115: buffer bit;
	MGTTXN3_116: buffer bit;
	MGTTXN3_117: buffer bit;
	MGTTXN3_118: buffer bit;
	MGTTXP0_100: buffer bit;
	MGTTXP0_101: buffer bit;
	MGTTXP0_102: buffer bit;
	MGTTXP0_103: buffer bit;
	MGTTXP0_104: buffer bit;
	MGTTXP0_105: buffer bit;
	MGTTXP0_106: buffer bit;
	MGTTXP0_107: buffer bit;
	MGTTXP0_108: buffer bit;
	MGTTXP0_110: buffer bit;
	MGTTXP0_111: buffer bit;
	MGTTXP0_112: buffer bit;
	MGTTXP0_113: buffer bit;
	MGTTXP0_114: buffer bit;
	MGTTXP0_115: buffer bit;
	MGTTXP0_116: buffer bit;
	MGTTXP0_117: buffer bit;
	MGTTXP0_118: buffer bit;
	MGTTXP1_100: buffer bit;
	MGTTXP1_101: buffer bit;
	MGTTXP1_102: buffer bit;
	MGTTXP1_103: buffer bit;
	MGTTXP1_104: buffer bit;
	MGTTXP1_105: buffer bit;
	MGTTXP1_106: buffer bit;
	MGTTXP1_107: buffer bit;
	MGTTXP1_108: buffer bit;
	MGTTXP1_110: buffer bit;
	MGTTXP1_111: buffer bit;
	MGTTXP1_112: buffer bit;
	MGTTXP1_113: buffer bit;
	MGTTXP1_114: buffer bit;
	MGTTXP1_115: buffer bit;
	MGTTXP1_116: buffer bit;
	MGTTXP1_117: buffer bit;
	MGTTXP1_118: buffer bit;
	MGTTXP2_100: buffer bit;
	MGTTXP2_101: buffer bit;
	MGTTXP2_102: buffer bit;
	MGTTXP2_103: buffer bit;
	MGTTXP2_104: buffer bit;
	MGTTXP2_105: buffer bit;
	MGTTXP2_106: buffer bit;
	MGTTXP2_107: buffer bit;
	MGTTXP2_108: buffer bit;
	MGTTXP2_110: buffer bit;
	MGTTXP2_111: buffer bit;
	MGTTXP2_112: buffer bit;
	MGTTXP2_113: buffer bit;
	MGTTXP2_114: buffer bit;
	MGTTXP2_115: buffer bit;
	MGTTXP2_116: buffer bit;
	MGTTXP2_117: buffer bit;
	MGTTXP2_118: buffer bit;
	MGTTXP3_100: buffer bit;
	MGTTXP3_101: buffer bit;
	MGTTXP3_102: buffer bit;
	MGTTXP3_103: buffer bit;
	MGTTXP3_104: buffer bit;
	MGTTXP3_105: buffer bit;
	MGTTXP3_106: buffer bit;
	MGTTXP3_107: buffer bit;
	MGTTXP3_108: buffer bit;
	MGTTXP3_110: buffer bit;
	MGTTXP3_111: buffer bit;
	MGTTXP3_112: buffer bit;
	MGTTXP3_113: buffer bit;
	MGTTXP3_114: buffer bit;
	MGTTXP3_115: buffer bit;
	MGTTXP3_116: buffer bit;
	MGTTXP3_117: buffer bit;
	MGTTXP3_118: buffer bit;
	MGTVDDA1P8_106: linkage bit;
	MGTVDDA1P8_107: linkage bit;
	MGTVDDA1P8_108: linkage bit;
	MGTVDDA1P8_116: linkage bit;
	MGTVDDA1P8_117: linkage bit;
	MGTVDDA1P8_118: linkage bit;
	MGTVDDPLL_106: linkage bit;
	MGTVDDPLL_107: linkage bit;
	MGTVDDPLL_108: linkage bit;
	MGTVDDPLL_116: linkage bit;
	MGTVDDPLL_117: linkage bit;
	MGTVDDPLL_118: linkage bit;
	MGTVDD_106: linkage bit;
	MGTVDD_107: linkage bit;
	MGTVDD_108: linkage bit;
	MGTVDD_116: linkage bit;
	MGTVDD_117: linkage bit;
	MGTVDD_118: linkage bit;
	MGTVSSA_106: linkage bit;
	MGTVSSA_107: linkage bit;
	MGTVSSA_108: linkage bit;
	MGTVSSA_116: linkage bit;
	MGTVSSA_117: linkage bit;
	MGTVSSA_118: linkage bit;
	PROGRAM_B: in bit; --  PROGRAM_B_0
	RDWR_B_0: in bit;
	TCK: in bit; --  TCK_0
	TDI: in bit; --  TDI_0
	TDN_0: linkage bit; --  DXN_0
	TDO: out bit; --  TDO_0
	TDP_0: linkage bit; --  DXP_0
	TMS: in bit; --  TMS_0
	VBATT_0: linkage bit;
	VCCAUX: linkage bit_vector (1 to 23);
	VCCINT: linkage bit;
	VCCO_0: linkage bit;
	VCCO_20: linkage bit;
	VCCO_21: linkage bit;
	VCCO_22: linkage bit;
	VCCO_23: linkage bit;
	VCCO_24: linkage bit;
	VCCO_25: linkage bit;
	VCCO_26: linkage bit;
	VCCO_27: linkage bit;
	VCCO_28: linkage bit;
	VCCO_30: linkage bit;
	VCCO_31: linkage bit;
	VCCO_32: linkage bit;
	VCCO_33: linkage bit;
	VCCO_34: linkage bit;
	VCCO_35: linkage bit;
	VCCO_36: linkage bit;
	VCCO_37: linkage bit;
	VCCO_38: linkage bit;
	VN_0: linkage bit;
	VP_0: linkage bit;
	VREFN_0: linkage bit;
	VREFP_0: linkage bit;
	IO_PAD1: inout bit; --  PAD1
	IO_PAD2: inout bit; --  PAD2
	IO_PAD3: inout bit; --  PAD3
	IO_PAD4: inout bit; --  PAD4
	IO_PAD5: inout bit; --  PAD5
	IO_PAD6: inout bit; --  PAD6
	IO_PAD7: inout bit; --  PAD7
	IO_PAD8: inout bit; --  PAD8
	IO_PAD9: inout bit; --  PAD9
	IO_PAD10: inout bit; --  PAD10
	IO_PAD11: inout bit; --  PAD11
	IO_PAD12: inout bit; --  PAD12
	IO_PAD13: inout bit; --  PAD13
	IO_PAD14: inout bit; --  PAD14
	IO_PAD15: inout bit; --  PAD15
	IO_PAD16: inout bit; --  PAD16
	IO_PAD17: inout bit; --  PAD17
	IO_PAD18: inout bit; --  PAD18
	IO_PAD19: inout bit; --  PAD19
	IO_PAD20: inout bit; --  PAD20
	IO_PAD21: inout bit; --  PAD21
	IO_PAD22: inout bit; --  PAD22
	IO_PAD23: inout bit; --  PAD23
	IO_PAD24: inout bit; --  PAD24
	IO_PAD25: inout bit; --  PAD25
	IO_PAD26: inout bit; --  PAD26
	IO_PAD27: inout bit; --  PAD27
	IO_PAD28: inout bit; --  PAD28
	IO_PAD29: inout bit; --  PAD29
	IO_PAD30: inout bit; --  PAD30
	IO_PAD31: inout bit; --  PAD31
	IO_PAD32: inout bit; --  PAD32
	IO_PAD33: inout bit; --  PAD33
	IO_PAD34: inout bit; --  PAD34
	IO_PAD35: inout bit; --  PAD35
	IO_PAD36: inout bit; --  PAD36
	IO_PAD37: inout bit; --  PAD37
	IO_PAD38: inout bit; --  PAD38
	IO_PAD39: inout bit; --  PAD39
	IO_PAD40: inout bit; --  PAD40
	IO_PAD41: inout bit; --  PAD41
	IO_PAD42: inout bit; --  PAD42
	IO_PAD43: inout bit; --  PAD43
	IO_PAD44: inout bit; --  PAD44
	IO_PAD45: inout bit; --  PAD45
	IO_PAD46: inout bit; --  PAD46
	IO_PAD47: inout bit; --  PAD47
	IO_PAD48: inout bit; --  PAD48
	IO_PAD49: inout bit; --  PAD49
	IO_PAD50: inout bit; --  PAD50
	IO_PAD51: inout bit; --  PAD51
	IO_PAD52: inout bit; --  PAD52
	IO_PAD53: inout bit; --  PAD53
	IO_PAD54: inout bit; --  PAD54
	IO_PAD55: inout bit; --  PAD55
	IO_PAD56: inout bit; --  PAD56
	IO_PAD57: inout bit; --  PAD57
	IO_PAD58: inout bit; --  PAD58
	IO_PAD59: inout bit; --  PAD59
	IO_PAD60: inout bit; --  PAD60
	IO_PAD61: inout bit; --  PAD61
	IO_PAD62: inout bit; --  PAD62
	IO_PAD63: inout bit; --  PAD63
	IO_PAD64: inout bit; --  PAD64
	IO_PAD65: inout bit; --  PAD65
	IO_PAD66: inout bit; --  PAD66
	IO_PAD67: inout bit; --  PAD67
	IO_PAD68: inout bit; --  PAD68
	IO_PAD69: inout bit; --  PAD69
	IO_PAD70: inout bit; --  PAD70
	IO_PAD71: inout bit; --  PAD71
	IO_PAD72: inout bit; --  PAD72
	IO_PAD73: inout bit; --  PAD73
	IO_PAD74: inout bit; --  PAD74
	IO_PAD75: inout bit; --  PAD75
	IO_PAD76: inout bit; --  PAD76
	IO_PAD77: inout bit; --  PAD77
	IO_PAD78: inout bit; --  PAD78
	IO_PAD79: inout bit; --  PAD79
	IO_PAD80: inout bit; --  PAD80
	IO_PAD81: inout bit; --  PAD81
	IO_PAD82: inout bit; --  PAD82
	IO_PAD83: inout bit; --  PAD83
	IO_PAD84: inout bit; --  PAD84
	IO_PAD85: inout bit; --  PAD85
	IO_PAD86: inout bit; --  PAD86
	IO_PAD87: inout bit; --  PAD87
	IO_PAD88: inout bit; --  PAD88
	IO_PAD89: inout bit; --  PAD89
	IO_PAD90: inout bit; --  PAD90
	IO_PAD91: inout bit; --  PAD91
	IO_PAD92: inout bit; --  PAD92
	IO_PAD93: inout bit; --  PAD93
	IO_PAD94: inout bit; --  PAD94
	IO_PAD95: inout bit; --  PAD95
	IO_PAD96: inout bit; --  PAD96
	IO_PAD97: inout bit; --  PAD97
	IO_PAD98: inout bit; --  PAD98
	IO_PAD99: inout bit; --  PAD99
	IO_PAD100: inout bit; --  PAD100
	IO_PAD101: inout bit; --  PAD101
	IO_PAD102: inout bit; --  PAD102
	IO_PAD103: inout bit; --  PAD103
	IO_PAD104: inout bit; --  PAD104
	IO_PAD105: inout bit; --  PAD105
	IO_PAD106: inout bit; --  PAD106
	IO_PAD107: inout bit; --  PAD107
	IO_PAD108: inout bit; --  PAD108
	IO_PAD109: inout bit; --  PAD109
	IO_PAD110: inout bit; --  PAD110
	IO_PAD111: inout bit; --  PAD111
	IO_PAD112: inout bit; --  PAD112
	IO_PAD113: inout bit; --  PAD113
	IO_PAD114: inout bit; --  PAD114
	IO_PAD115: inout bit; --  PAD115
	IO_PAD116: inout bit; --  PAD116
	IO_PAD117: inout bit; --  PAD117
	IO_PAD118: inout bit; --  PAD118
	IO_PAD119: inout bit; --  PAD119
	IO_PAD120: inout bit; --  PAD120
	IO_PAD121: inout bit; --  PAD121
	IO_PAD122: inout bit; --  PAD122
	IO_PAD123: inout bit; --  PAD123
	IO_PAD124: inout bit; --  PAD124
	IO_PAD125: inout bit; --  PAD125
	IO_PAD126: inout bit; --  PAD126
	IO_PAD127: inout bit; --  PAD127
	IO_PAD128: inout bit; --  PAD128
	IO_PAD129: inout bit; --  PAD129
	IO_PAD130: inout bit; --  PAD130
	IO_PAD131: inout bit; --  PAD131
	IO_PAD132: inout bit; --  PAD132
	IO_PAD133: inout bit; --  PAD133
	IO_PAD134: inout bit; --  PAD134
	IO_PAD135: inout bit; --  PAD135
	IO_PAD136: inout bit; --  PAD136
	IO_PAD137: inout bit; --  PAD137
	IO_PAD138: inout bit; --  PAD138
	IO_PAD139: inout bit; --  PAD139
	IO_PAD140: inout bit; --  PAD140
	IO_PAD141: inout bit; --  PAD141
	IO_PAD142: inout bit; --  PAD142
	IO_PAD143: inout bit; --  PAD143
	IO_PAD144: inout bit; --  PAD144
	IO_PAD145: inout bit; --  PAD145
	IO_PAD146: inout bit; --  PAD146
	IO_PAD147: inout bit; --  PAD147
	IO_PAD148: inout bit; --  PAD148
	IO_PAD149: inout bit; --  PAD149
	IO_PAD150: inout bit; --  PAD150
	IO_PAD151: inout bit; --  PAD151
	IO_PAD152: inout bit; --  PAD152
	IO_PAD153: inout bit; --  PAD153
	IO_PAD154: inout bit; --  PAD154
	IO_PAD155: inout bit; --  PAD155
	IO_PAD156: inout bit; --  PAD156
	IO_PAD157: inout bit; --  PAD157
	IO_PAD158: inout bit; --  PAD158
	IO_PAD159: inout bit; --  PAD159
	IO_PAD160: inout bit; --  PAD160
	IO_PAD161: inout bit; --  PAD161
	IO_PAD162: inout bit; --  PAD162
	IO_PAD163: inout bit; --  PAD163
	IO_PAD164: inout bit; --  PAD164
	IO_PAD165: inout bit; --  PAD165
	IO_PAD166: inout bit; --  PAD166
	IO_PAD167: inout bit; --  PAD167
	IO_PAD168: inout bit; --  PAD168
	IO_PAD169: inout bit; --  PAD169
	IO_PAD170: inout bit; --  PAD170
	IO_PAD171: inout bit; --  PAD171
	IO_PAD172: inout bit; --  PAD172
	IO_PAD173: inout bit; --  PAD173
	IO_PAD174: inout bit; --  PAD174
	IO_PAD175: inout bit; --  PAD175
	IO_PAD176: inout bit; --  PAD176
	IO_PAD177: inout bit; --  PAD177
	IO_PAD178: inout bit; --  PAD178
	IO_PAD179: inout bit; --  PAD179
	IO_PAD180: inout bit; --  PAD180
	IO_PAD181: inout bit; --  PAD181
	IO_PAD182: inout bit; --  PAD182
	IO_PAD183: inout bit; --  PAD183
	IO_PAD184: inout bit; --  PAD184
	IO_PAD185: inout bit; --  PAD185
	IO_PAD186: inout bit; --  PAD186
	IO_PAD187: inout bit; --  PAD187
	IO_PAD188: inout bit; --  PAD188
	IO_PAD189: inout bit; --  PAD189
	IO_PAD190: inout bit; --  PAD190
	IO_PAD191: inout bit; --  PAD191
	IO_PAD192: inout bit; --  PAD192
	IO_PAD193: inout bit; --  PAD193
	IO_PAD194: inout bit; --  PAD194
	IO_PAD195: inout bit; --  PAD195
	IO_PAD196: inout bit; --  PAD196
	IO_PAD197: inout bit; --  PAD197
	IO_PAD198: inout bit; --  PAD198
	IO_PAD199: inout bit; --  PAD199
	IO_PAD200: inout bit; --  PAD200
	IO_PAD201: inout bit; --  PAD201
	IO_PAD202: inout bit; --  PAD202
	IO_PAD203: inout bit; --  PAD203
	IO_PAD204: inout bit; --  PAD204
	IO_PAD205: inout bit; --  PAD205
	IO_PAD206: inout bit; --  PAD206
	IO_PAD207: inout bit; --  PAD207
	IO_PAD208: inout bit; --  PAD208
	IO_PAD209: inout bit; --  PAD209
	IO_PAD210: inout bit; --  PAD210
	IO_PAD211: inout bit; --  PAD211
	IO_PAD212: inout bit; --  PAD212
	IO_PAD213: inout bit; --  PAD213
	IO_PAD214: inout bit; --  PAD214
	IO_PAD215: inout bit; --  PAD215
	IO_PAD216: inout bit; --  PAD216
	IO_PAD217: inout bit; --  PAD217
	IO_PAD218: inout bit; --  PAD218
	IO_PAD219: inout bit; --  PAD219
	IO_PAD220: inout bit; --  PAD220
	IO_PAD221: inout bit; --  PAD221
	IO_PAD222: inout bit; --  PAD222
	IO_PAD223: inout bit; --  PAD223
	IO_PAD224: inout bit; --  PAD224
	IO_PAD225: inout bit; --  PAD225
	IO_PAD226: inout bit; --  PAD226
	IO_PAD227: inout bit; --  PAD227
	IO_PAD228: inout bit; --  PAD228
	IO_PAD229: inout bit; --  PAD229
	IO_PAD230: inout bit; --  PAD230
	IO_PAD231: inout bit; --  PAD231
	IO_PAD232: inout bit; --  PAD232
	IO_PAD233: inout bit; --  PAD233
	IO_PAD234: inout bit; --  PAD234
	IO_PAD235: inout bit; --  PAD235
	IO_PAD236: inout bit; --  PAD236
	IO_PAD237: inout bit; --  PAD237
	IO_PAD238: inout bit; --  PAD238
	IO_PAD239: inout bit; --  PAD239
	IO_PAD240: inout bit; --  PAD240
	IO_PAD241: inout bit; --  PAD241
	IO_PAD242: inout bit; --  PAD242
	IO_PAD243: inout bit; --  PAD243
	IO_PAD244: inout bit; --  PAD244
	IO_PAD245: inout bit; --  PAD245
	IO_PAD246: inout bit; --  PAD246
	IO_PAD247: inout bit; --  PAD247
	IO_PAD248: inout bit; --  PAD248
	IO_PAD249: inout bit; --  PAD249
	IO_PAD250: inout bit; --  PAD250
	IO_PAD251: inout bit; --  PAD251
	IO_PAD252: inout bit; --  PAD252
	IO_PAD253: inout bit; --  PAD253
	IO_PAD254: inout bit; --  PAD254
	IO_PAD255: inout bit; --  PAD255
	IO_PAD256: inout bit; --  PAD256
	IO_PAD257: inout bit; --  PAD257
	IO_PAD258: inout bit; --  PAD258
	IO_PAD259: inout bit; --  PAD259
	IO_PAD260: inout bit; --  PAD260
	IO_PAD261: inout bit; --  PAD261
	IO_PAD262: inout bit; --  PAD262
	IO_PAD263: inout bit; --  PAD263
	IO_PAD264: inout bit; --  PAD264
	IO_PAD265: inout bit; --  PAD265
	IO_PAD266: inout bit; --  PAD266
	IO_PAD267: inout bit; --  PAD267
	IO_PAD268: inout bit; --  PAD268
	IO_PAD269: inout bit; --  PAD269
	IO_PAD270: inout bit; --  PAD270
	IO_PAD271: inout bit; --  PAD271
	IO_PAD272: inout bit; --  PAD272
	IO_PAD273: inout bit; --  PAD273
	IO_PAD274: inout bit; --  PAD274
	IO_PAD275: inout bit; --  PAD275
	IO_PAD276: inout bit; --  PAD276
	IO_PAD277: inout bit; --  PAD277
	IO_PAD278: inout bit; --  PAD278
	IO_PAD279: inout bit; --  PAD279
	IO_PAD280: inout bit; --  PAD280
	IO_PAD281: inout bit; --  PAD281
	IO_PAD282: inout bit; --  PAD282
	IO_PAD283: inout bit; --  PAD283
	IO_PAD284: inout bit; --  PAD284
	IO_PAD285: inout bit; --  PAD285
	IO_PAD286: inout bit; --  PAD286
	IO_PAD287: inout bit; --  PAD287
	IO_PAD288: inout bit; --  PAD288
	IO_PAD289: inout bit; --  PAD289
	IO_PAD290: inout bit; --  PAD290
	IO_PAD291: inout bit; --  PAD291
	IO_PAD292: inout bit; --  PAD292
	IO_PAD293: inout bit; --  PAD293
	IO_PAD294: inout bit; --  PAD294
	IO_PAD295: inout bit; --  PAD295
	IO_PAD296: inout bit; --  PAD296
	IO_PAD297: inout bit; --  PAD297
	IO_PAD298: inout bit; --  PAD298
	IO_PAD299: inout bit; --  PAD299
	IO_PAD300: inout bit; --  PAD300
	IO_PAD301: inout bit; --  PAD301
	IO_PAD302: inout bit; --  PAD302
	IO_PAD303: inout bit; --  PAD303
	IO_PAD304: inout bit; --  PAD304
	IO_PAD305: inout bit; --  PAD305
	IO_PAD306: inout bit; --  PAD306
	IO_PAD307: inout bit; --  PAD307
	IO_PAD308: inout bit; --  PAD308
	IO_PAD309: inout bit; --  PAD309
	IO_PAD310: inout bit; --  PAD310
	IO_PAD311: inout bit; --  PAD311
	IO_PAD312: inout bit; --  PAD312
	IO_PAD313: inout bit; --  PAD313
	IO_PAD314: inout bit; --  PAD314
	IO_PAD315: inout bit; --  PAD315
	IO_PAD316: inout bit; --  PAD316
	IO_PAD317: inout bit; --  PAD317
	IO_PAD318: inout bit; --  PAD318
	IO_PAD319: inout bit; --  PAD319
	IO_PAD320: inout bit; --  PAD320
	IO_PAD321: inout bit; --  PAD321
	IO_PAD322: inout bit; --  PAD322
	IO_PAD323: inout bit; --  PAD323
	IO_PAD324: inout bit; --  PAD324
	IO_PAD325: inout bit; --  PAD325
	IO_PAD326: inout bit; --  PAD326
	IO_PAD327: inout bit; --  PAD327
	IO_PAD328: inout bit; --  PAD328
	IO_PAD329: inout bit; --  PAD329
	IO_PAD330: inout bit; --  PAD330
	IO_PAD331: inout bit; --  PAD331
	IO_PAD332: inout bit; --  PAD332
	IO_PAD333: inout bit; --  PAD333
	IO_PAD334: inout bit; --  PAD334
	IO_PAD335: inout bit; --  PAD335
	IO_PAD336: inout bit; --  PAD336
	IO_PAD337: inout bit; --  PAD337
	IO_PAD338: inout bit; --  PAD338
	IO_PAD339: inout bit; --  PAD339
	IO_PAD340: inout bit; --  PAD340
	IO_PAD341: inout bit; --  PAD341
	IO_PAD342: inout bit; --  PAD342
	IO_PAD343: inout bit; --  PAD343
	IO_PAD344: inout bit; --  PAD344
	IO_PAD345: inout bit; --  PAD345
	IO_PAD346: inout bit; --  PAD346
	IO_PAD347: inout bit; --  PAD347
	IO_PAD348: inout bit; --  PAD348
	IO_PAD349: inout bit; --  PAD349
	IO_PAD350: inout bit; --  PAD350
	IO_PAD351: inout bit; --  PAD351
	IO_PAD352: inout bit; --  PAD352
	IO_PAD353: inout bit; --  PAD353
	IO_PAD354: inout bit; --  PAD354
	IO_PAD355: inout bit; --  PAD355
	IO_PAD356: inout bit; --  PAD356
	IO_PAD357: inout bit; --  PAD357
	IO_PAD358: inout bit; --  PAD358
	IO_PAD359: inout bit; --  PAD359
	IO_PAD360: inout bit; --  PAD360
	IO_PAD361: inout bit; --  PAD361
	IO_PAD362: inout bit; --  PAD362
	IO_PAD363: inout bit; --  PAD363
	IO_PAD364: inout bit; --  PAD364
	IO_PAD365: inout bit; --  PAD365
	IO_PAD366: inout bit; --  PAD366
	IO_PAD367: inout bit; --  PAD367
	IO_PAD368: inout bit; --  PAD368
	IO_PAD369: inout bit; --  PAD369
	IO_PAD370: inout bit; --  PAD370
	IO_PAD371: inout bit; --  PAD371
	IO_PAD372: inout bit; --  PAD372
	IO_PAD373: inout bit; --  PAD373
	IO_PAD374: inout bit; --  PAD374
	IO_PAD375: inout bit; --  PAD375
	IO_PAD376: inout bit; --  PAD376
	IO_PAD377: inout bit; --  PAD377
	IO_PAD378: inout bit; --  PAD378
	IO_PAD379: inout bit; --  PAD379
	IO_PAD380: inout bit; --  PAD380
	IO_PAD381: inout bit; --  PAD381
	IO_PAD382: inout bit; --  PAD382
	IO_PAD383: inout bit; --  PAD383
	IO_PAD384: inout bit; --  PAD384
	IO_PAD385: inout bit; --  PAD385
	IO_PAD386: inout bit; --  PAD386
	IO_PAD387: inout bit; --  PAD387
	IO_PAD388: inout bit; --  PAD388
	IO_PAD389: inout bit; --  PAD389
	IO_PAD390: inout bit; --  PAD390
	IO_PAD391: inout bit; --  PAD391
	IO_PAD392: inout bit; --  PAD392
	IO_PAD393: inout bit; --  PAD393
	IO_PAD394: inout bit; --  PAD394
	IO_PAD395: inout bit; --  PAD395
	IO_PAD396: inout bit; --  PAD396
	IO_PAD397: inout bit; --  PAD397
	IO_PAD398: inout bit; --  PAD398
	IO_PAD399: inout bit; --  PAD399
	IO_PAD400: inout bit; --  PAD400
	IO_PAD401: inout bit; --  PAD401
	IO_PAD402: inout bit; --  PAD402
	IO_PAD403: inout bit; --  PAD403
	IO_PAD404: inout bit; --  PAD404
	IO_PAD405: inout bit; --  PAD405
	IO_PAD406: inout bit; --  PAD406
	IO_PAD407: inout bit; --  PAD407
	IO_PAD408: inout bit; --  PAD408
	IO_PAD409: inout bit; --  PAD409
	IO_PAD410: inout bit; --  PAD410
	IO_PAD411: inout bit; --  PAD411
	IO_PAD412: inout bit; --  PAD412
	IO_PAD413: inout bit; --  PAD413
	IO_PAD414: inout bit; --  PAD414
	IO_PAD415: inout bit; --  PAD415
	IO_PAD416: inout bit; --  PAD416
	IO_PAD417: inout bit; --  PAD417
	IO_PAD418: inout bit; --  PAD418
	IO_PAD419: inout bit; --  PAD419
	IO_PAD420: inout bit; --  PAD420
	IO_PAD421: inout bit; --  PAD421
	IO_PAD422: inout bit; --  PAD422
	IO_PAD423: inout bit; --  PAD423
	IO_PAD424: inout bit; --  PAD424
	IO_PAD425: inout bit; --  PAD425
	IO_PAD426: inout bit; --  PAD426
	IO_PAD427: inout bit; --  PAD427
	IO_PAD428: inout bit; --  PAD428
	IO_PAD429: inout bit; --  PAD429
	IO_PAD430: inout bit; --  PAD430
	IO_PAD431: inout bit; --  PAD431
	IO_PAD432: inout bit; --  PAD432
	IO_PAD433: inout bit; --  PAD433
	IO_PAD434: inout bit; --  PAD434
	IO_PAD435: inout bit; --  PAD435
	IO_PAD436: inout bit; --  PAD436
	IO_PAD437: inout bit; --  PAD437
	IO_PAD438: inout bit; --  PAD438
	IO_PAD439: inout bit; --  PAD439
	IO_PAD440: inout bit; --  PAD440
	IO_PAD441: inout bit; --  PAD441
	IO_PAD442: inout bit; --  PAD442
	IO_PAD443: inout bit; --  PAD443
	IO_PAD444: inout bit; --  PAD444
	IO_PAD445: inout bit; --  PAD445
	IO_PAD446: inout bit; --  PAD446
	IO_PAD447: inout bit; --  PAD447
	IO_PAD448: inout bit; --  PAD448
	IO_PAD449: inout bit; --  PAD449
	IO_PAD450: inout bit; --  PAD450
	IO_PAD451: inout bit; --  PAD451
	IO_PAD452: inout bit; --  PAD452
	IO_PAD453: inout bit; --  PAD453
	IO_PAD454: inout bit; --  PAD454
	IO_PAD455: inout bit; --  PAD455
	IO_PAD456: inout bit; --  PAD456
	IO_PAD457: inout bit; --  PAD457
	IO_PAD458: inout bit; --  PAD458
	IO_PAD459: inout bit; --  PAD459
	IO_PAD460: inout bit; --  PAD460
	IO_PAD461: inout bit; --  PAD461
	IO_PAD462: inout bit; --  PAD462
	IO_PAD463: inout bit; --  PAD463
	IO_PAD464: inout bit; --  PAD464
	IO_PAD465: inout bit; --  PAD465
	IO_PAD466: inout bit; --  PAD466
	IO_PAD467: inout bit; --  PAD467
	IO_PAD468: inout bit; --  PAD468
	IO_PAD469: inout bit; --  PAD469
	IO_PAD470: inout bit; --  PAD470
	IO_PAD471: inout bit; --  PAD471
	IO_PAD472: inout bit; --  PAD472
	IO_PAD473: inout bit; --  PAD473
	IO_PAD474: inout bit; --  PAD474
	IO_PAD475: inout bit; --  PAD475
	IO_PAD476: inout bit; --  PAD476
	IO_PAD477: inout bit; --  PAD477
	IO_PAD478: inout bit; --  PAD478
	IO_PAD479: inout bit; --  PAD479
	IO_PAD480: inout bit; --  PAD480
	IO_PAD481: inout bit; --  PAD481
	IO_PAD482: inout bit; --  PAD482
	IO_PAD483: inout bit; --  PAD483
	IO_PAD484: inout bit; --  PAD484
	IO_PAD485: inout bit; --  PAD485
	IO_PAD486: inout bit; --  PAD486
	IO_PAD487: inout bit; --  PAD487
	IO_PAD488: inout bit; --  PAD488
	IO_PAD489: inout bit; --  PAD489
	IO_PAD490: inout bit; --  PAD490
	IO_PAD491: inout bit; --  PAD491
	IO_PAD492: inout bit; --  PAD492
	IO_PAD493: inout bit; --  PAD493
	IO_PAD494: inout bit; --  PAD494
	IO_PAD495: inout bit; --  PAD495
	IO_PAD496: inout bit; --  PAD496
	IO_PAD497: inout bit; --  PAD497
	IO_PAD498: inout bit; --  PAD498
	IO_PAD499: inout bit; --  PAD499
	IO_PAD500: inout bit; --  PAD500
	IO_PAD501: inout bit; --  PAD501
	IO_PAD502: inout bit; --  PAD502
	IO_PAD503: inout bit; --  PAD503
	IO_PAD504: inout bit; --  PAD504
	IO_PAD505: inout bit; --  PAD505
	IO_PAD506: inout bit; --  PAD506
	IO_PAD507: inout bit; --  PAD507
	IO_PAD508: inout bit; --  PAD508
	IO_PAD509: inout bit; --  PAD509
	IO_PAD510: inout bit; --  PAD510
	IO_PAD511: inout bit; --  PAD511
	IO_PAD512: inout bit; --  PAD512
	IO_PAD513: inout bit; --  PAD513
	IO_PAD514: inout bit; --  PAD514
	IO_PAD515: inout bit; --  PAD515
	IO_PAD516: inout bit; --  PAD516
	IO_PAD517: inout bit; --  PAD517
	IO_PAD518: inout bit; --  PAD518
	IO_PAD519: inout bit; --  PAD519
	IO_PAD520: inout bit; --  PAD520
	IO_PAD521: inout bit; --  PAD521
	IO_PAD522: inout bit; --  PAD522
	IO_PAD523: inout bit; --  PAD523
	IO_PAD524: inout bit; --  PAD524
	IO_PAD525: inout bit; --  PAD525
	IO_PAD526: inout bit; --  PAD526
	IO_PAD527: inout bit; --  PAD527
	IO_PAD528: inout bit; --  PAD528
	IO_PAD529: inout bit; --  PAD529
	IO_PAD530: inout bit; --  PAD530
	IO_PAD531: inout bit; --  PAD531
	IO_PAD532: inout bit; --  PAD532
	IO_PAD533: inout bit; --  PAD533
	IO_PAD534: inout bit; --  PAD534
	IO_PAD535: inout bit; --  PAD535
	IO_PAD536: inout bit; --  PAD536
	IO_PAD537: inout bit; --  PAD537
	IO_PAD538: inout bit; --  PAD538
	IO_PAD539: inout bit; --  PAD539
	IO_PAD540: inout bit; --  PAD540
	IO_PAD541: inout bit; --  PAD541
	IO_PAD542: inout bit; --  PAD542
	IO_PAD543: inout bit; --  PAD543
	IO_PAD544: inout bit; --  PAD544
	IO_PAD545: inout bit; --  PAD545
	IO_PAD546: inout bit; --  PAD546
	IO_PAD547: inout bit; --  PAD547
	IO_PAD548: inout bit; --  PAD548
	IO_PAD549: inout bit; --  PAD549
	IO_PAD550: inout bit; --  PAD550
	IO_PAD551: inout bit; --  PAD551
	IO_PAD552: inout bit; --  PAD552
	IO_PAD553: inout bit; --  PAD553
	IO_PAD554: inout bit; --  PAD554
	IO_PAD555: inout bit; --  PAD555
	IO_PAD556: inout bit; --  PAD556
	IO_PAD557: inout bit; --  PAD557
	IO_PAD558: inout bit; --  PAD558
	IO_PAD559: inout bit; --  PAD559
	IO_PAD560: inout bit; --  PAD560
	IO_PAD561: inout bit; --  PAD561
	IO_PAD562: inout bit; --  PAD562
	IO_PAD563: inout bit; --  PAD563
	IO_PAD564: inout bit; --  PAD564
	IO_PAD565: inout bit; --  PAD565
	IO_PAD566: inout bit; --  PAD566
	IO_PAD567: inout bit; --  PAD567
	IO_PAD568: inout bit; --  PAD568
	IO_PAD569: inout bit; --  PAD569
	IO_PAD570: inout bit; --  PAD570
	IO_PAD571: inout bit; --  PAD571
	IO_PAD572: inout bit; --  PAD572
	IO_PAD573: inout bit; --  PAD573
	IO_PAD574: inout bit; --  PAD574
	IO_PAD575: inout bit; --  PAD575
	IO_PAD576: inout bit; --  PAD576
	IO_PAD577: inout bit; --  PAD577
	IO_PAD578: inout bit; --  PAD578
	IO_PAD579: inout bit; --  PAD579
	IO_PAD580: inout bit; --  PAD580
	IO_PAD581: inout bit; --  PAD581
	IO_PAD582: inout bit; --  PAD582
	IO_PAD583: inout bit; --  PAD583
	IO_PAD584: inout bit; --  PAD584
	IO_PAD585: inout bit; --  PAD585
	IO_PAD586: inout bit; --  PAD586
	IO_PAD587: inout bit; --  PAD587
	IO_PAD588: inout bit; --  PAD588
	IO_PAD589: inout bit; --  PAD589
	IO_PAD590: inout bit; --  PAD590
	IO_PAD591: inout bit; --  PAD591
	IO_PAD592: inout bit; --  PAD592
	IO_PAD593: inout bit; --  PAD593
	IO_PAD594: inout bit; --  PAD594
	IO_PAD595: inout bit; --  PAD595
	IO_PAD596: inout bit; --  PAD596
	IO_PAD597: inout bit; --  PAD597
	IO_PAD598: inout bit; --  PAD598
	IO_PAD599: inout bit; --  PAD599
	IO_PAD600: inout bit; --  PAD600
	IO_PAD601: inout bit; --  PAD601
	IO_PAD602: inout bit; --  PAD602
	IO_PAD603: inout bit; --  PAD603
	IO_PAD604: inout bit; --  PAD604
	IO_PAD605: inout bit; --  PAD605
	IO_PAD606: inout bit; --  PAD606
	IO_PAD607: inout bit; --  PAD607
	IO_PAD608: inout bit; --  PAD608
	IO_PAD609: inout bit; --  PAD609
	IO_PAD610: inout bit; --  PAD610
	IO_PAD611: inout bit; --  PAD611
	IO_PAD612: inout bit; --  PAD612
	IO_PAD613: inout bit; --  PAD613
	IO_PAD614: inout bit; --  PAD614
	IO_PAD615: inout bit; --  PAD615
	IO_PAD616: inout bit; --  PAD616
	IO_PAD617: inout bit; --  PAD617
	IO_PAD618: inout bit; --  PAD618
	IO_PAD619: inout bit; --  PAD619
	IO_PAD620: inout bit; --  PAD620
	IO_PAD621: inout bit; --  PAD621
	IO_PAD622: inout bit; --  PAD622
	IO_PAD623: inout bit; --  PAD623
	IO_PAD624: inout bit; --  PAD624
	IO_PAD625: inout bit; --  PAD625
	IO_PAD626: inout bit; --  PAD626
	IO_PAD627: inout bit; --  PAD627
	IO_PAD628: inout bit; --  PAD628
	IO_PAD629: inout bit; --  PAD629
	IO_PAD630: inout bit; --  PAD630
	IO_PAD631: inout bit; --  PAD631
	IO_PAD632: inout bit; --  PAD632
	IO_PAD633: inout bit; --  PAD633
	IO_PAD634: inout bit; --  PAD634
	IO_PAD635: inout bit; --  PAD635
	IO_PAD636: inout bit; --  PAD636
	IO_PAD637: inout bit; --  PAD637
	IO_PAD638: inout bit; --  PAD638
	IO_PAD639: inout bit; --  PAD639
	IO_PAD640: inout bit; --  PAD640
	IO_PAD641: inout bit; --  PAD641
	IO_PAD642: inout bit; --  PAD642
	IO_PAD643: inout bit; --  PAD643
	IO_PAD644: inout bit; --  PAD644
	IO_PAD645: inout bit; --  PAD645
	IO_PAD646: inout bit; --  PAD646
	IO_PAD647: inout bit; --  PAD647
	IO_PAD648: inout bit; --  PAD648
	IO_PAD649: inout bit; --  PAD649
	IO_PAD650: inout bit; --  PAD650
	IO_PAD651: inout bit; --  PAD651
	IO_PAD652: inout bit; --  PAD652
	IO_PAD653: inout bit; --  PAD653
	IO_PAD654: inout bit; --  PAD654
	IO_PAD655: inout bit; --  PAD655
	IO_PAD656: inout bit; --  PAD656
	IO_PAD657: inout bit; --  PAD657
	IO_PAD658: inout bit; --  PAD658
	IO_PAD659: inout bit; --  PAD659
	IO_PAD660: inout bit; --  PAD660
	IO_PAD661: inout bit; --  PAD661
	IO_PAD662: inout bit; --  PAD662
	IO_PAD663: inout bit; --  PAD663
	IO_PAD664: inout bit; --  PAD664
	IO_PAD665: inout bit; --  PAD665
	IO_PAD666: inout bit; --  PAD666
	IO_PAD667: inout bit; --  PAD667
	IO_PAD668: inout bit; --  PAD668
	IO_PAD669: inout bit; --  PAD669
	IO_PAD670: inout bit; --  PAD670
	IO_PAD671: inout bit; --  PAD671
	IO_PAD672: inout bit; --  PAD672
	IO_PAD673: inout bit; --  PAD673
	IO_PAD674: inout bit; --  PAD674
	IO_PAD675: inout bit; --  PAD675
	IO_PAD676: inout bit; --  PAD676
	IO_PAD677: inout bit; --  PAD677
	IO_PAD678: inout bit; --  PAD678
	IO_PAD679: inout bit; --  PAD679
	IO_PAD680: inout bit; --  PAD680
	IO_PAD681: inout bit; --  PAD681
	IO_PAD682: inout bit; --  PAD682
	IO_PAD683: inout bit; --  PAD683
	IO_PAD684: inout bit; --  PAD684
	IO_PAD685: inout bit; --  PAD685
	IO_PAD686: inout bit; --  PAD686
	IO_PAD687: inout bit; --  PAD687
	IO_PAD688: inout bit; --  PAD688
	IO_PAD689: inout bit; --  PAD689
	IO_PAD690: inout bit; --  PAD690
	IO_PAD691: inout bit; --  PAD691
	IO_PAD692: inout bit; --  PAD692
	IO_PAD693: inout bit; --  PAD693
	IO_PAD694: inout bit; --  PAD694
	IO_PAD695: inout bit; --  PAD695
	IO_PAD696: inout bit; --  PAD696
	IO_PAD697: inout bit; --  PAD697
	IO_PAD698: inout bit; --  PAD698
	IO_PAD699: inout bit; --  PAD699
	IO_PAD700: inout bit; --  PAD700
	IO_PAD701: inout bit; --  PAD701
	IO_PAD702: inout bit; --  PAD702
	IO_PAD703: inout bit; --  PAD703
	IO_PAD704: inout bit; --  PAD704
	IO_PAD705: inout bit; --  PAD705
	IO_PAD706: inout bit; --  PAD706
	IO_PAD707: inout bit; --  PAD707
	IO_PAD708: inout bit; --  PAD708
	IO_PAD709: inout bit; --  PAD709
	IO_PAD710: inout bit; --  PAD710
	IO_PAD711: inout bit; --  PAD711
	IO_PAD712: inout bit; --  PAD712
	IO_PAD713: inout bit; --  PAD713
	IO_PAD714: inout bit; --  PAD714
	IO_PAD715: inout bit; --  PAD715
	IO_PAD716: inout bit; --  PAD716
	IO_PAD717: inout bit; --  PAD717
	IO_PAD718: inout bit; --  PAD718
	IO_PAD719: inout bit; --  PAD719
	IO_PAD720: inout bit --  PAD720
); --end port list

-- Use Statements

use STD_1149_1_2001.all;
use STD_1149_6_2003.all;

-- Component Conformance Statement(s)

attribute COMPONENT_CONFORMANCE of XC6VHX380T : entity is
	"STD_1149_1_2001";

-- Device Package Pin Mappings

attribute PIN_MAP of XC6VHX380T : entity is PHYSICAL_PIN_MAP;

constant DIE_BOND: PIN_MAP_STRING:=
	"CCLK_0:BARE1," &
	"CSI_B_0:BARE2," &
	"DIN_0:BARE3," &
	"DONE_0:BARE4," &
	"DOUT_BUSY_0:BARE5," &
	"GND:BARE6," &
	"GND_MON:BARE7," &
	"GND_PLL_BOT50:BARE8," &
	"GND_PLL_BOT51:BARE9," &
	"GND_PLL_BOT52:BARE10," &
	"GND_PLL_BOT53:BARE11," &
	"GND_PLL_BOT54:BARE12," &
	"GND_PLL_BOT55:BARE13," &
	"GND_PLL_BOT56:BARE14," &
	"GND_PLL_BOT57:BARE15," &
	"GND_PLL_BOT58:BARE16," &
	"GND_PLL_TOP50:BARE17," &
	"GND_PLL_TOP51:BARE18," &
	"GND_PLL_TOP52:BARE19," &
	"GND_PLL_TOP53:BARE20," &
	"GND_PLL_TOP54:BARE21," &
	"GND_PLL_TOP55:BARE22," &
	"GND_PLL_TOP56:BARE23," &
	"GND_PLL_TOP57:BARE24," &
	"GND_PLL_TOP58:BARE25," &
	"HSWAPEN:BARE26," &
	"INIT_B_0:BARE27," &
	"M0_0:BARE28," &
	"M1_0:BARE29," &
	"M2_0:BARE30," &
	"MGTAMON_106:BARE31," &
	"MGTAMON_107:BARE32," &
	"MGTAMON_108:BARE33," &
	"MGTAMON_116:BARE34," &
	"MGTAMON_117:BARE35," &
	"MGTAMON_118:BARE36," &
	"MGTAVCC_100:BARE37," &
	"MGTAVCC_101:BARE38," &
	"MGTAVCC_102:BARE39," &
	"MGTAVCC_103:BARE40," &
	"MGTAVCC_104:BARE41," &
	"MGTAVCC_105:BARE42," &
	"MGTAVCC_110:BARE43," &
	"MGTAVCC_111:BARE44," &
	"MGTAVCC_112:BARE45," &
	"MGTAVCC_113:BARE46," &
	"MGTAVCC_114:BARE47," &
	"MGTAVCC_115:BARE48," &
	"MGTAVSS:BARE49," &
	"MGTAVSS_L:BARE50," &
	"MGTAVTTRCAL_100:BARE51," &
	"MGTAVTTRCAL_101:BARE52," &
	"MGTAVTTRCAL_102:BARE53," &
	"MGTAVTTRCAL_103:BARE54," &
	"MGTAVTTRCAL_104:BARE55," &
	"MGTAVTTRCAL_105:BARE56," &
	"MGTAVTTRCAL_110:BARE57," &
	"MGTAVTTRCAL_111:BARE58," &
	"MGTAVTTRCAL_112:BARE59," &
	"MGTAVTTRCAL_113:BARE60," &
	"MGTAVTTRCAL_114:BARE61," &
	"MGTAVTTRCAL_115:BARE62," &
	"MGTAVTTRX_100:BARE63," &
	"MGTAVTTRX_101:BARE64," &
	"MGTAVTTRX_102:BARE65," &
	"MGTAVTTRX_103:BARE66," &
	"MGTAVTTRX_104:BARE67," &
	"MGTAVTTRX_105:BARE68," &
	"MGTAVTTRX_110:BARE69," &
	"MGTAVTTRX_111:BARE70," &
	"MGTAVTTRX_112:BARE71," &
	"MGTAVTTRX_113:BARE72," &
	"MGTAVTTRX_114:BARE73," &
	"MGTAVTTRX_115:BARE74," &
	"MGTAVTTTX_100:BARE75," &
	"MGTAVTTTX_101:BARE76," &
	"MGTAVTTTX_102:BARE77," &
	"MGTAVTTTX_103:BARE78," &
	"MGTAVTTTX_104:BARE79," &
	"MGTAVTTTX_105:BARE80," &
	"MGTAVTTTX_110:BARE81," &
	"MGTAVTTTX_111:BARE82," &
	"MGTAVTTTX_112:BARE83," &
	"MGTAVTTTX_113:BARE84," &
	"MGTAVTTTX_114:BARE85," &
	"MGTAVTTTX_115:BARE86," &
	"MGTDMOND_106:BARE87," &
	"MGTDMOND_107:BARE88," &
	"MGTDMOND_108:BARE89," &
	"MGTDMOND_116:BARE90," &
	"MGTDMOND_117:BARE91," &
	"MGTDMOND_118:BARE92," &
	"MGTDMON_106:BARE93," &
	"MGTDMON_107:BARE94," &
	"MGTDMON_108:BARE95," &
	"MGTDMON_116:BARE96," &
	"MGTDMON_117:BARE97," &
	"MGTDMON_118:BARE98," &
	"MGTRBIAS_106:BARE99," &
	"MGTRBIAS_107:BARE100," &
	"MGTRBIAS_108:BARE101," &
	"MGTRBIAS_116:BARE102," &
	"MGTRBIAS_117:BARE103," &
	"MGTRBIAS_118:BARE104," &
	"MGTREFCLK0N_100:BARE105," &
	"MGTREFCLK0N_101:BARE106," &
	"MGTREFCLK0N_102:BARE107," &
	"MGTREFCLK0N_103:BARE108," &
	"MGTREFCLK0N_104:BARE109," &
	"MGTREFCLK0N_105:BARE110," &
	"MGTREFCLK0N_110:BARE111," &
	"MGTREFCLK0N_111:BARE112," &
	"MGTREFCLK0N_112:BARE113," &
	"MGTREFCLK0N_113:BARE114," &
	"MGTREFCLK0N_114:BARE115," &
	"MGTREFCLK0N_115:BARE116," &
	"MGTREFCLK0P_100:BARE117," &
	"MGTREFCLK0P_101:BARE118," &
	"MGTREFCLK0P_102:BARE119," &
	"MGTREFCLK0P_103:BARE120," &
	"MGTREFCLK0P_104:BARE121," &
	"MGTREFCLK0P_105:BARE122," &
	"MGTREFCLK0P_110:BARE123," &
	"MGTREFCLK0P_111:BARE124," &
	"MGTREFCLK0P_112:BARE125," &
	"MGTREFCLK0P_113:BARE126," &
	"MGTREFCLK0P_114:BARE127," &
	"MGTREFCLK0P_115:BARE128," &
	"MGTREFCLK1N_100:BARE129," &
	"MGTREFCLK1N_101:BARE130," &
	"MGTREFCLK1N_102:BARE131," &
	"MGTREFCLK1N_103:BARE132," &
	"MGTREFCLK1N_104:BARE133," &
	"MGTREFCLK1N_105:BARE134," &
	"MGTREFCLK1N_110:BARE135," &
	"MGTREFCLK1N_111:BARE136," &
	"MGTREFCLK1N_112:BARE137," &
	"MGTREFCLK1N_113:BARE138," &
	"MGTREFCLK1N_114:BARE139," &
	"MGTREFCLK1N_115:BARE140," &
	"MGTREFCLK1P_100:BARE141," &
	"MGTREFCLK1P_101:BARE142," &
	"MGTREFCLK1P_102:BARE143," &
	"MGTREFCLK1P_103:BARE144," &
	"MGTREFCLK1P_104:BARE145," &
	"MGTREFCLK1P_105:BARE146," &
	"MGTREFCLK1P_110:BARE147," &
	"MGTREFCLK1P_111:BARE148," &
	"MGTREFCLK1P_112:BARE149," &
	"MGTREFCLK1P_113:BARE150," &
	"MGTREFCLK1P_114:BARE151," &
	"MGTREFCLK1P_115:BARE152," &
	"MGTREFCLKN_106:BARE153," &
	"MGTREFCLKN_107:BARE154," &
	"MGTREFCLKN_108:BARE155," &
	"MGTREFCLKN_116:BARE156," &
	"MGTREFCLKN_117:BARE157," &
	"MGTREFCLKN_118:BARE158," &
	"MGTREFCLKP_106:BARE159," &
	"MGTREFCLKP_107:BARE160," &
	"MGTREFCLKP_108:BARE161," &
	"MGTREFCLKP_116:BARE162," &
	"MGTREFCLKP_117:BARE163," &
	"MGTREFCLKP_118:BARE164," &
	"MGTRREF_100:BARE165," &
	"MGTRREF_101:BARE166," &
	"MGTRREF_102:BARE167," &
	"MGTRREF_103:BARE168," &
	"MGTRREF_104:BARE169," &
	"MGTRREF_105:BARE170," &
	"MGTRREF_110:BARE171," &
	"MGTRREF_111:BARE172," &
	"MGTRREF_112:BARE173," &
	"MGTRREF_113:BARE174," &
	"MGTRREF_114:BARE175," &
	"MGTRREF_115:BARE176," &
	"MGTRXN0_100:BARE177," &
	"MGTRXN0_101:BARE178," &
	"MGTRXN0_102:BARE179," &
	"MGTRXN0_103:BARE180," &
	"MGTRXN0_104:BARE181," &
	"MGTRXN0_105:BARE182," &
	"MGTRXN0_106:BARE183," &
	"MGTRXN0_107:BARE184," &
	"MGTRXN0_108:BARE185," &
	"MGTRXN0_110:BARE186," &
	"MGTRXN0_111:BARE187," &
	"MGTRXN0_112:BARE188," &
	"MGTRXN0_113:BARE189," &
	"MGTRXN0_114:BARE190," &
	"MGTRXN0_115:BARE191," &
	"MGTRXN0_116:BARE192," &
	"MGTRXN0_117:BARE193," &
	"MGTRXN0_118:BARE194," &
	"MGTRXN1_100:BARE195," &
	"MGTRXN1_101:BARE196," &
	"MGTRXN1_102:BARE197," &
	"MGTRXN1_103:BARE198," &
	"MGTRXN1_104:BARE199," &
	"MGTRXN1_105:BARE200," &
	"MGTRXN1_106:BARE201," &
	"MGTRXN1_107:BARE202," &
	"MGTRXN1_108:BARE203," &
	"MGTRXN1_110:BARE204," &
	"MGTRXN1_111:BARE205," &
	"MGTRXN1_112:BARE206," &
	"MGTRXN1_113:BARE207," &
	"MGTRXN1_114:BARE208," &
	"MGTRXN1_115:BARE209," &
	"MGTRXN1_116:BARE210," &
	"MGTRXN1_117:BARE211," &
	"MGTRXN1_118:BARE212," &
	"MGTRXN2_100:BARE213," &
	"MGTRXN2_101:BARE214," &
	"MGTRXN2_102:BARE215," &
	"MGTRXN2_103:BARE216," &
	"MGTRXN2_104:BARE217," &
	"MGTRXN2_105:BARE218," &
	"MGTRXN2_106:BARE219," &
	"MGTRXN2_107:BARE220," &
	"MGTRXN2_108:BARE221," &
	"MGTRXN2_110:BARE222," &
	"MGTRXN2_111:BARE223," &
	"MGTRXN2_112:BARE224," &
	"MGTRXN2_113:BARE225," &
	"MGTRXN2_114:BARE226," &
	"MGTRXN2_115:BARE227," &
	"MGTRXN2_116:BARE228," &
	"MGTRXN2_117:BARE229," &
	"MGTRXN2_118:BARE230," &
	"MGTRXN3_100:BARE231," &
	"MGTRXN3_101:BARE232," &
	"MGTRXN3_102:BARE233," &
	"MGTRXN3_103:BARE234," &
	"MGTRXN3_104:BARE235," &
	"MGTRXN3_105:BARE236," &
	"MGTRXN3_106:BARE237," &
	"MGTRXN3_107:BARE238," &
	"MGTRXN3_108:BARE239," &
	"MGTRXN3_110:BARE240," &
	"MGTRXN3_111:BARE241," &
	"MGTRXN3_112:BARE242," &
	"MGTRXN3_113:BARE243," &
	"MGTRXN3_114:BARE244," &
	"MGTRXN3_115:BARE245," &
	"MGTRXN3_116:BARE246," &
	"MGTRXN3_117:BARE247," &
	"MGTRXN3_118:BARE248," &
	"MGTRXP0_100:BARE249," &
	"MGTRXP0_101:BARE250," &
	"MGTRXP0_102:BARE251," &
	"MGTRXP0_103:BARE252," &
	"MGTRXP0_104:BARE253," &
	"MGTRXP0_105:BARE254," &
	"MGTRXP0_106:BARE255," &
	"MGTRXP0_107:BARE256," &
	"MGTRXP0_108:BARE257," &
	"MGTRXP0_110:BARE258," &
	"MGTRXP0_111:BARE259," &
	"MGTRXP0_112:BARE260," &
	"MGTRXP0_113:BARE261," &
	"MGTRXP0_114:BARE262," &
	"MGTRXP0_115:BARE263," &
	"MGTRXP0_116:BARE264," &
	"MGTRXP0_117:BARE265," &
	"MGTRXP0_118:BARE266," &
	"MGTRXP1_100:BARE267," &
	"MGTRXP1_101:BARE268," &
	"MGTRXP1_102:BARE269," &
	"MGTRXP1_103:BARE270," &
	"MGTRXP1_104:BARE271," &
	"MGTRXP1_105:BARE272," &
	"MGTRXP1_106:BARE273," &
	"MGTRXP1_107:BARE274," &
	"MGTRXP1_108:BARE275," &
	"MGTRXP1_110:BARE276," &
	"MGTRXP1_111:BARE277," &
	"MGTRXP1_112:BARE278," &
	"MGTRXP1_113:BARE279," &
	"MGTRXP1_114:BARE280," &
	"MGTRXP1_115:BARE281," &
	"MGTRXP1_116:BARE282," &
	"MGTRXP1_117:BARE283," &
	"MGTRXP1_118:BARE284," &
	"MGTRXP2_100:BARE285," &
	"MGTRXP2_101:BARE286," &
	"MGTRXP2_102:BARE287," &
	"MGTRXP2_103:BARE288," &
	"MGTRXP2_104:BARE289," &
	"MGTRXP2_105:BARE290," &
	"MGTRXP2_106:BARE291," &
	"MGTRXP2_107:BARE292," &
	"MGTRXP2_108:BARE293," &
	"MGTRXP2_110:BARE294," &
	"MGTRXP2_111:BARE295," &
	"MGTRXP2_112:BARE296," &
	"MGTRXP2_113:BARE297," &
	"MGTRXP2_114:BARE298," &
	"MGTRXP2_115:BARE299," &
	"MGTRXP2_116:BARE300," &
	"MGTRXP2_117:BARE301," &
	"MGTRXP2_118:BARE302," &
	"MGTRXP3_100:BARE303," &
	"MGTRXP3_101:BARE304," &
	"MGTRXP3_102:BARE305," &
	"MGTRXP3_103:BARE306," &
	"MGTRXP3_104:BARE307," &
	"MGTRXP3_105:BARE308," &
	"MGTRXP3_106:BARE309," &
	"MGTRXP3_107:BARE310," &
	"MGTRXP3_108:BARE311," &
	"MGTRXP3_110:BARE312," &
	"MGTRXP3_111:BARE313," &
	"MGTRXP3_112:BARE314," &
	"MGTRXP3_113:BARE315," &
	"MGTRXP3_114:BARE316," &
	"MGTRXP3_115:BARE317," &
	"MGTRXP3_116:BARE318," &
	"MGTRXP3_117:BARE319," &
	"MGTRXP3_118:BARE320," &
	"MGTSLVDDARX0_106:BARE321," &
	"MGTSLVDDARX0_107:BARE322," &
	"MGTSLVDDARX0_108:BARE323," &
	"MGTSLVDDARX0_116:BARE324," &
	"MGTSLVDDARX0_117:BARE325," &
	"MGTSLVDDARX0_118:BARE326," &
	"MGTSLVDDARX1_106:BARE327," &
	"MGTSLVDDARX1_107:BARE328," &
	"MGTSLVDDARX1_108:BARE329," &
	"MGTSLVDDARX1_116:BARE330," &
	"MGTSLVDDARX1_117:BARE331," &
	"MGTSLVDDARX1_118:BARE332," &
	"MGTSLVTTX0_106:BARE333," &
	"MGTSLVTTX0_107:BARE334," &
	"MGTSLVTTX0_108:BARE335," &
	"MGTSLVTTX0_116:BARE336," &
	"MGTSLVTTX0_117:BARE337," &
	"MGTSLVTTX0_118:BARE338," &
	"MGTSLVTTX1_106:BARE339," &
	"MGTSLVTTX1_107:BARE340," &
	"MGTSLVTTX1_108:BARE341," &
	"MGTSLVTTX1_116:BARE342," &
	"MGTSLVTTX1_117:BARE343," &
	"MGTSLVTTX1_118:BARE344," &
	"MGTTXN0_100:BARE345," &
	"MGTTXN0_101:BARE346," &
	"MGTTXN0_102:BARE347," &
	"MGTTXN0_103:BARE348," &
	"MGTTXN0_104:BARE349," &
	"MGTTXN0_105:BARE350," &
	"MGTTXN0_106:BARE351," &
	"MGTTXN0_107:BARE352," &
	"MGTTXN0_108:BARE353," &
	"MGTTXN0_110:BARE354," &
	"MGTTXN0_111:BARE355," &
	"MGTTXN0_112:BARE356," &
	"MGTTXN0_113:BARE357," &
	"MGTTXN0_114:BARE358," &
	"MGTTXN0_115:BARE359," &
	"MGTTXN0_116:BARE360," &
	"MGTTXN0_117:BARE361," &
	"MGTTXN0_118:BARE362," &
	"MGTTXN1_100:BARE363," &
	"MGTTXN1_101:BARE364," &
	"MGTTXN1_102:BARE365," &
	"MGTTXN1_103:BARE366," &
	"MGTTXN1_104:BARE367," &
	"MGTTXN1_105:BARE368," &
	"MGTTXN1_106:BARE369," &
	"MGTTXN1_107:BARE370," &
	"MGTTXN1_108:BARE371," &
	"MGTTXN1_110:BARE372," &
	"MGTTXN1_111:BARE373," &
	"MGTTXN1_112:BARE374," &
	"MGTTXN1_113:BARE375," &
	"MGTTXN1_114:BARE376," &
	"MGTTXN1_115:BARE377," &
	"MGTTXN1_116:BARE378," &
	"MGTTXN1_117:BARE379," &
	"MGTTXN1_118:BARE380," &
	"MGTTXN2_100:BARE381," &
	"MGTTXN2_101:BARE382," &
	"MGTTXN2_102:BARE383," &
	"MGTTXN2_103:BARE384," &
	"MGTTXN2_104:BARE385," &
	"MGTTXN2_105:BARE386," &
	"MGTTXN2_106:BARE387," &
	"MGTTXN2_107:BARE388," &
	"MGTTXN2_108:BARE389," &
	"MGTTXN2_110:BARE390," &
	"MGTTXN2_111:BARE391," &
	"MGTTXN2_112:BARE392," &
	"MGTTXN2_113:BARE393," &
	"MGTTXN2_114:BARE394," &
	"MGTTXN2_115:BARE395," &
	"MGTTXN2_116:BARE396," &
	"MGTTXN2_117:BARE397," &
	"MGTTXN2_118:BARE398," &
	"MGTTXN3_100:BARE399," &
	"MGTTXN3_101:BARE400," &
	"MGTTXN3_102:BARE401," &
	"MGTTXN3_103:BARE402," &
	"MGTTXN3_104:BARE403," &
	"MGTTXN3_105:BARE404," &
	"MGTTXN3_106:BARE405," &
	"MGTTXN3_107:BARE406," &
	"MGTTXN3_108:BARE407," &
	"MGTTXN3_110:BARE408," &
	"MGTTXN3_111:BARE409," &
	"MGTTXN3_112:BARE410," &
	"MGTTXN3_113:BARE411," &
	"MGTTXN3_114:BARE412," &
	"MGTTXN3_115:BARE413," &
	"MGTTXN3_116:BARE414," &
	"MGTTXN3_117:BARE415," &
	"MGTTXN3_118:BARE416," &
	"MGTTXP0_100:BARE417," &
	"MGTTXP0_101:BARE418," &
	"MGTTXP0_102:BARE419," &
	"MGTTXP0_103:BARE420," &
	"MGTTXP0_104:BARE421," &
	"MGTTXP0_105:BARE422," &
	"MGTTXP0_106:BARE423," &
	"MGTTXP0_107:BARE424," &
	"MGTTXP0_108:BARE425," &
	"MGTTXP0_110:BARE426," &
	"MGTTXP0_111:BARE427," &
	"MGTTXP0_112:BARE428," &
	"MGTTXP0_113:BARE429," &
	"MGTTXP0_114:BARE430," &
	"MGTTXP0_115:BARE431," &
	"MGTTXP0_116:BARE432," &
	"MGTTXP0_117:BARE433," &
	"MGTTXP0_118:BARE434," &
	"MGTTXP1_100:BARE435," &
	"MGTTXP1_101:BARE436," &
	"MGTTXP1_102:BARE437," &
	"MGTTXP1_103:BARE438," &
	"MGTTXP1_104:BARE439," &
	"MGTTXP1_105:BARE440," &
	"MGTTXP1_106:BARE441," &
	"MGTTXP1_107:BARE442," &
	"MGTTXP1_108:BARE443," &
	"MGTTXP1_110:BARE444," &
	"MGTTXP1_111:BARE445," &
	"MGTTXP1_112:BARE446," &
	"MGTTXP1_113:BARE447," &
	"MGTTXP1_114:BARE448," &
	"MGTTXP1_115:BARE449," &
	"MGTTXP1_116:BARE450," &
	"MGTTXP1_117:BARE451," &
	"MGTTXP1_118:BARE452," &
	"MGTTXP2_100:BARE453," &
	"MGTTXP2_101:BARE454," &
	"MGTTXP2_102:BARE455," &
	"MGTTXP2_103:BARE456," &
	"MGTTXP2_104:BARE457," &
	"MGTTXP2_105:BARE458," &
	"MGTTXP2_106:BARE459," &
	"MGTTXP2_107:BARE460," &
	"MGTTXP2_108:BARE461," &
	"MGTTXP2_110:BARE462," &
	"MGTTXP2_111:BARE463," &
	"MGTTXP2_112:BARE464," &
	"MGTTXP2_113:BARE465," &
	"MGTTXP2_114:BARE466," &
	"MGTTXP2_115:BARE467," &
	"MGTTXP2_116:BARE468," &
	"MGTTXP2_117:BARE469," &
	"MGTTXP2_118:BARE470," &
	"MGTTXP3_100:BARE471," &
	"MGTTXP3_101:BARE472," &
	"MGTTXP3_102:BARE473," &
	"MGTTXP3_103:BARE474," &
	"MGTTXP3_104:BARE475," &
	"MGTTXP3_105:BARE476," &
	"MGTTXP3_106:BARE477," &
	"MGTTXP3_107:BARE478," &
	"MGTTXP3_108:BARE479," &
	"MGTTXP3_110:BARE480," &
	"MGTTXP3_111:BARE481," &
	"MGTTXP3_112:BARE482," &
	"MGTTXP3_113:BARE483," &
	"MGTTXP3_114:BARE484," &
	"MGTTXP3_115:BARE485," &
	"MGTTXP3_116:BARE486," &
	"MGTTXP3_117:BARE487," &
	"MGTTXP3_118:BARE488," &
	"MGTVDDA1P8_106:BARE489," &
	"MGTVDDA1P8_107:BARE490," &
	"MGTVDDA1P8_108:BARE491," &
	"MGTVDDA1P8_116:BARE492," &
	"MGTVDDA1P8_117:BARE493," &
	"MGTVDDA1P8_118:BARE494," &
	"MGTVDDPLL_106:BARE495," &
	"MGTVDDPLL_107:BARE496," &
	"MGTVDDPLL_108:BARE497," &
	"MGTVDDPLL_116:BARE498," &
	"MGTVDDPLL_117:BARE499," &
	"MGTVDDPLL_118:BARE500," &
	"MGTVDD_106:BARE501," &
	"MGTVDD_107:BARE502," &
	"MGTVDD_108:BARE503," &
	"MGTVDD_116:BARE504," &
	"MGTVDD_117:BARE505," &
	"MGTVDD_118:BARE506," &
	"MGTVSSA_106:BARE507," &
	"MGTVSSA_107:BARE508," &
	"MGTVSSA_108:BARE509," &
	"MGTVSSA_116:BARE510," &
	"MGTVSSA_117:BARE511," &
	"MGTVSSA_118:BARE512," &
	"PROGRAM_B:BARE513," &
	"RDWR_B_0:BARE514," &
	"TCK:BARE515," &
	"TDI:BARE516," &
	"TDN_0:BARE517," &
	"TDO:BARE518," &
	"TDP_0:BARE519," &
	"TMS:BARE520," &
	"VBATT_0:BARE521," &
	"VCCAUX:(BARE522,BARE523,BARE524,BARE525,BARE526,BARE527,BARE528,BARE529,BARE530,BARE531," &
		"BARE532,BARE533,BARE534,BARE535,BARE536,BARE537,BARE538,BARE539,BARE540,BARE541," &
		"BARE542,BARE543,BARE544)," &
	"VCCINT:BARE545," &
	"VCCO_0:BARE546," &
	"VCCO_20:BARE547," &
	"VCCO_21:BARE548," &
	"VCCO_22:BARE549," &
	"VCCO_23:BARE550," &
	"VCCO_24:BARE551," &
	"VCCO_25:BARE552," &
	"VCCO_26:BARE553," &
	"VCCO_27:BARE554," &
	"VCCO_28:BARE555," &
	"VCCO_30:BARE556," &
	"VCCO_31:BARE557," &
	"VCCO_32:BARE558," &
	"VCCO_33:BARE559," &
	"VCCO_34:BARE560," &
	"VCCO_35:BARE561," &
	"VCCO_36:BARE562," &
	"VCCO_37:BARE563," &
	"VCCO_38:BARE564," &
	"VN_0:BARE565," &
	"VP_0:BARE566," &
	"VREFN_0:BARE567," &
	"VREFP_0:BARE568," &
	"IO_PAD1:BARE569," &
	"IO_PAD2:BARE570," &
	"IO_PAD3:BARE571," &
	"IO_PAD4:BARE572," &
	"IO_PAD5:BARE573," &
	"IO_PAD6:BARE574," &
	"IO_PAD7:BARE575," &
	"IO_PAD8:BARE576," &
	"IO_PAD9:BARE577," &
	"IO_PAD10:BARE578," &
	"IO_PAD11:BARE579," &
	"IO_PAD12:BARE580," &
	"IO_PAD13:BARE581," &
	"IO_PAD14:BARE582," &
	"IO_PAD15:BARE583," &
	"IO_PAD16:BARE584," &
	"IO_PAD17:BARE585," &
	"IO_PAD18:BARE586," &
	"IO_PAD19:BARE587," &
	"IO_PAD20:BARE588," &
	"IO_PAD21:BARE589," &
	"IO_PAD22:BARE590," &
	"IO_PAD23:BARE591," &
	"IO_PAD24:BARE592," &
	"IO_PAD25:BARE593," &
	"IO_PAD26:BARE594," &
	"IO_PAD27:BARE595," &
	"IO_PAD28:BARE596," &
	"IO_PAD29:BARE597," &
	"IO_PAD30:BARE598," &
	"IO_PAD31:BARE599," &
	"IO_PAD32:BARE600," &
	"IO_PAD33:BARE601," &
	"IO_PAD34:BARE602," &
	"IO_PAD35:BARE603," &
	"IO_PAD36:BARE604," &
	"IO_PAD37:BARE605," &
	"IO_PAD38:BARE606," &
	"IO_PAD39:BARE607," &
	"IO_PAD40:BARE608," &
	"IO_PAD41:BARE609," &
	"IO_PAD42:BARE610," &
	"IO_PAD43:BARE611," &
	"IO_PAD44:BARE612," &
	"IO_PAD45:BARE613," &
	"IO_PAD46:BARE614," &
	"IO_PAD47:BARE615," &
	"IO_PAD48:BARE616," &
	"IO_PAD49:BARE617," &
	"IO_PAD50:BARE618," &
	"IO_PAD51:BARE619," &
	"IO_PAD52:BARE620," &
	"IO_PAD53:BARE621," &
	"IO_PAD54:BARE622," &
	"IO_PAD55:BARE623," &
	"IO_PAD56:BARE624," &
	"IO_PAD57:BARE625," &
	"IO_PAD58:BARE626," &
	"IO_PAD59:BARE627," &
	"IO_PAD60:BARE628," &
	"IO_PAD61:BARE629," &
	"IO_PAD62:BARE630," &
	"IO_PAD63:BARE631," &
	"IO_PAD64:BARE632," &
	"IO_PAD65:BARE633," &
	"IO_PAD66:BARE634," &
	"IO_PAD67:BARE635," &
	"IO_PAD68:BARE636," &
	"IO_PAD69:BARE637," &
	"IO_PAD70:BARE638," &
	"IO_PAD71:BARE639," &
	"IO_PAD72:BARE640," &
	"IO_PAD73:BARE641," &
	"IO_PAD74:BARE642," &
	"IO_PAD75:BARE643," &
	"IO_PAD76:BARE644," &
	"IO_PAD77:BARE645," &
	"IO_PAD78:BARE646," &
	"IO_PAD79:BARE647," &
	"IO_PAD80:BARE648," &
	"IO_PAD81:BARE649," &
	"IO_PAD82:BARE650," &
	"IO_PAD83:BARE651," &
	"IO_PAD84:BARE652," &
	"IO_PAD85:BARE653," &
	"IO_PAD86:BARE654," &
	"IO_PAD87:BARE655," &
	"IO_PAD88:BARE656," &
	"IO_PAD89:BARE657," &
	"IO_PAD90:BARE658," &
	"IO_PAD91:BARE659," &
	"IO_PAD92:BARE660," &
	"IO_PAD93:BARE661," &
	"IO_PAD94:BARE662," &
	"IO_PAD95:BARE663," &
	"IO_PAD96:BARE664," &
	"IO_PAD97:BARE665," &
	"IO_PAD98:BARE666," &
	"IO_PAD99:BARE667," &
	"IO_PAD100:BARE668," &
	"IO_PAD101:BARE669," &
	"IO_PAD102:BARE670," &
	"IO_PAD103:BARE671," &
	"IO_PAD104:BARE672," &
	"IO_PAD105:BARE673," &
	"IO_PAD106:BARE674," &
	"IO_PAD107:BARE675," &
	"IO_PAD108:BARE676," &
	"IO_PAD109:BARE677," &
	"IO_PAD110:BARE678," &
	"IO_PAD111:BARE679," &
	"IO_PAD112:BARE680," &
	"IO_PAD113:BARE681," &
	"IO_PAD114:BARE682," &
	"IO_PAD115:BARE683," &
	"IO_PAD116:BARE684," &
	"IO_PAD117:BARE685," &
	"IO_PAD118:BARE686," &
	"IO_PAD119:BARE687," &
	"IO_PAD120:BARE688," &
	"IO_PAD121:BARE689," &
	"IO_PAD122:BARE690," &
	"IO_PAD123:BARE691," &
	"IO_PAD124:BARE692," &
	"IO_PAD125:BARE693," &
	"IO_PAD126:BARE694," &
	"IO_PAD127:BARE695," &
	"IO_PAD128:BARE696," &
	"IO_PAD129:BARE697," &
	"IO_PAD130:BARE698," &
	"IO_PAD131:BARE699," &
	"IO_PAD132:BARE700," &
	"IO_PAD133:BARE701," &
	"IO_PAD134:BARE702," &
	"IO_PAD135:BARE703," &
	"IO_PAD136:BARE704," &
	"IO_PAD137:BARE705," &
	"IO_PAD138:BARE706," &
	"IO_PAD139:BARE707," &
	"IO_PAD140:BARE708," &
	"IO_PAD141:BARE709," &
	"IO_PAD142:BARE710," &
	"IO_PAD143:BARE711," &
	"IO_PAD144:BARE712," &
	"IO_PAD145:BARE713," &
	"IO_PAD146:BARE714," &
	"IO_PAD147:BARE715," &
	"IO_PAD148:BARE716," &
	"IO_PAD149:BARE717," &
	"IO_PAD150:BARE718," &
	"IO_PAD151:BARE719," &
	"IO_PAD152:BARE720," &
	"IO_PAD153:BARE721," &
	"IO_PAD154:BARE722," &
	"IO_PAD155:BARE723," &
	"IO_PAD156:BARE724," &
	"IO_PAD157:BARE725," &
	"IO_PAD158:BARE726," &
	"IO_PAD159:BARE727," &
	"IO_PAD160:BARE728," &
	"IO_PAD161:BARE729," &
	"IO_PAD162:BARE730," &
	"IO_PAD163:BARE731," &
	"IO_PAD164:BARE732," &
	"IO_PAD165:BARE733," &
	"IO_PAD166:BARE734," &
	"IO_PAD167:BARE735," &
	"IO_PAD168:BARE736," &
	"IO_PAD169:BARE737," &
	"IO_PAD170:BARE738," &
	"IO_PAD171:BARE739," &
	"IO_PAD172:BARE740," &
	"IO_PAD173:BARE741," &
	"IO_PAD174:BARE742," &
	"IO_PAD175:BARE743," &
	"IO_PAD176:BARE744," &
	"IO_PAD177:BARE745," &
	"IO_PAD178:BARE746," &
	"IO_PAD179:BARE747," &
	"IO_PAD180:BARE748," &
	"IO_PAD181:BARE749," &
	"IO_PAD182:BARE750," &
	"IO_PAD183:BARE751," &
	"IO_PAD184:BARE752," &
	"IO_PAD185:BARE753," &
	"IO_PAD186:BARE754," &
	"IO_PAD187:BARE755," &
	"IO_PAD188:BARE756," &
	"IO_PAD189:BARE757," &
	"IO_PAD190:BARE758," &
	"IO_PAD191:BARE759," &
	"IO_PAD192:BARE760," &
	"IO_PAD193:BARE761," &
	"IO_PAD194:BARE762," &
	"IO_PAD195:BARE763," &
	"IO_PAD196:BARE764," &
	"IO_PAD197:BARE765," &
	"IO_PAD198:BARE766," &
	"IO_PAD199:BARE767," &
	"IO_PAD200:BARE768," &
	"IO_PAD201:BARE769," &
	"IO_PAD202:BARE770," &
	"IO_PAD203:BARE771," &
	"IO_PAD204:BARE772," &
	"IO_PAD205:BARE773," &
	"IO_PAD206:BARE774," &
	"IO_PAD207:BARE775," &
	"IO_PAD208:BARE776," &
	"IO_PAD209:BARE777," &
	"IO_PAD210:BARE778," &
	"IO_PAD211:BARE779," &
	"IO_PAD212:BARE780," &
	"IO_PAD213:BARE781," &
	"IO_PAD214:BARE782," &
	"IO_PAD215:BARE783," &
	"IO_PAD216:BARE784," &
	"IO_PAD217:BARE785," &
	"IO_PAD218:BARE786," &
	"IO_PAD219:BARE787," &
	"IO_PAD220:BARE788," &
	"IO_PAD221:BARE789," &
	"IO_PAD222:BARE790," &
	"IO_PAD223:BARE791," &
	"IO_PAD224:BARE792," &
	"IO_PAD225:BARE793," &
	"IO_PAD226:BARE794," &
	"IO_PAD227:BARE795," &
	"IO_PAD228:BARE796," &
	"IO_PAD229:BARE797," &
	"IO_PAD230:BARE798," &
	"IO_PAD231:BARE799," &
	"IO_PAD232:BARE800," &
	"IO_PAD233:BARE801," &
	"IO_PAD234:BARE802," &
	"IO_PAD235:BARE803," &
	"IO_PAD236:BARE804," &
	"IO_PAD237:BARE805," &
	"IO_PAD238:BARE806," &
	"IO_PAD239:BARE807," &
	"IO_PAD240:BARE808," &
	"IO_PAD241:BARE809," &
	"IO_PAD242:BARE810," &
	"IO_PAD243:BARE811," &
	"IO_PAD244:BARE812," &
	"IO_PAD245:BARE813," &
	"IO_PAD246:BARE814," &
	"IO_PAD247:BARE815," &
	"IO_PAD248:BARE816," &
	"IO_PAD249:BARE817," &
	"IO_PAD250:BARE818," &
	"IO_PAD251:BARE819," &
	"IO_PAD252:BARE820," &
	"IO_PAD253:BARE821," &
	"IO_PAD254:BARE822," &
	"IO_PAD255:BARE823," &
	"IO_PAD256:BARE824," &
	"IO_PAD257:BARE825," &
	"IO_PAD258:BARE826," &
	"IO_PAD259:BARE827," &
	"IO_PAD260:BARE828," &
	"IO_PAD261:BARE829," &
	"IO_PAD262:BARE830," &
	"IO_PAD263:BARE831," &
	"IO_PAD264:BARE832," &
	"IO_PAD265:BARE833," &
	"IO_PAD266:BARE834," &
	"IO_PAD267:BARE835," &
	"IO_PAD268:BARE836," &
	"IO_PAD269:BARE837," &
	"IO_PAD270:BARE838," &
	"IO_PAD271:BARE839," &
	"IO_PAD272:BARE840," &
	"IO_PAD273:BARE841," &
	"IO_PAD274:BARE842," &
	"IO_PAD275:BARE843," &
	"IO_PAD276:BARE844," &
	"IO_PAD277:BARE845," &
	"IO_PAD278:BARE846," &
	"IO_PAD279:BARE847," &
	"IO_PAD280:BARE848," &
	"IO_PAD281:BARE849," &
	"IO_PAD282:BARE850," &
	"IO_PAD283:BARE851," &
	"IO_PAD284:BARE852," &
	"IO_PAD285:BARE853," &
	"IO_PAD286:BARE854," &
	"IO_PAD287:BARE855," &
	"IO_PAD288:BARE856," &
	"IO_PAD289:BARE857," &
	"IO_PAD290:BARE858," &
	"IO_PAD291:BARE859," &
	"IO_PAD292:BARE860," &
	"IO_PAD293:BARE861," &
	"IO_PAD294:BARE862," &
	"IO_PAD295:BARE863," &
	"IO_PAD296:BARE864," &
	"IO_PAD297:BARE865," &
	"IO_PAD298:BARE866," &
	"IO_PAD299:BARE867," &
	"IO_PAD300:BARE868," &
	"IO_PAD301:BARE869," &
	"IO_PAD302:BARE870," &
	"IO_PAD303:BARE871," &
	"IO_PAD304:BARE872," &
	"IO_PAD305:BARE873," &
	"IO_PAD306:BARE874," &
	"IO_PAD307:BARE875," &
	"IO_PAD308:BARE876," &
	"IO_PAD309:BARE877," &
	"IO_PAD310:BARE878," &
	"IO_PAD311:BARE879," &
	"IO_PAD312:BARE880," &
	"IO_PAD313:BARE881," &
	"IO_PAD314:BARE882," &
	"IO_PAD315:BARE883," &
	"IO_PAD316:BARE884," &
	"IO_PAD317:BARE885," &
	"IO_PAD318:BARE886," &
	"IO_PAD319:BARE887," &
	"IO_PAD320:BARE888," &
	"IO_PAD321:BARE889," &
	"IO_PAD322:BARE890," &
	"IO_PAD323:BARE891," &
	"IO_PAD324:BARE892," &
	"IO_PAD325:BARE893," &
	"IO_PAD326:BARE894," &
	"IO_PAD327:BARE895," &
	"IO_PAD328:BARE896," &
	"IO_PAD329:BARE897," &
	"IO_PAD330:BARE898," &
	"IO_PAD331:BARE899," &
	"IO_PAD332:BARE900," &
	"IO_PAD333:BARE901," &
	"IO_PAD334:BARE902," &
	"IO_PAD335:BARE903," &
	"IO_PAD336:BARE904," &
	"IO_PAD337:BARE905," &
	"IO_PAD338:BARE906," &
	"IO_PAD339:BARE907," &
	"IO_PAD340:BARE908," &
	"IO_PAD341:BARE909," &
	"IO_PAD342:BARE910," &
	"IO_PAD343:BARE911," &
	"IO_PAD344:BARE912," &
	"IO_PAD345:BARE913," &
	"IO_PAD346:BARE914," &
	"IO_PAD347:BARE915," &
	"IO_PAD348:BARE916," &
	"IO_PAD349:BARE917," &
	"IO_PAD350:BARE918," &
	"IO_PAD351:BARE919," &
	"IO_PAD352:BARE920," &
	"IO_PAD353:BARE921," &
	"IO_PAD354:BARE922," &
	"IO_PAD355:BARE923," &
	"IO_PAD356:BARE924," &
	"IO_PAD357:BARE925," &
	"IO_PAD358:BARE926," &
	"IO_PAD359:BARE927," &
	"IO_PAD360:BARE928," &
	"IO_PAD361:BARE929," &
	"IO_PAD362:BARE930," &
	"IO_PAD363:BARE931," &
	"IO_PAD364:BARE932," &
	"IO_PAD365:BARE933," &
	"IO_PAD366:BARE934," &
	"IO_PAD367:BARE935," &
	"IO_PAD368:BARE936," &
	"IO_PAD369:BARE937," &
	"IO_PAD370:BARE938," &
	"IO_PAD371:BARE939," &
	"IO_PAD372:BARE940," &
	"IO_PAD373:BARE941," &
	"IO_PAD374:BARE942," &
	"IO_PAD375:BARE943," &
	"IO_PAD376:BARE944," &
	"IO_PAD377:BARE945," &
	"IO_PAD378:BARE946," &
	"IO_PAD379:BARE947," &
	"IO_PAD380:BARE948," &
	"IO_PAD381:BARE949," &
	"IO_PAD382:BARE950," &
	"IO_PAD383:BARE951," &
	"IO_PAD384:BARE952," &
	"IO_PAD385:BARE953," &
	"IO_PAD386:BARE954," &
	"IO_PAD387:BARE955," &
	"IO_PAD388:BARE956," &
	"IO_PAD389:BARE957," &
	"IO_PAD390:BARE958," &
	"IO_PAD391:BARE959," &
	"IO_PAD392:BARE960," &
	"IO_PAD393:BARE961," &
	"IO_PAD394:BARE962," &
	"IO_PAD395:BARE963," &
	"IO_PAD396:BARE964," &
	"IO_PAD397:BARE965," &
	"IO_PAD398:BARE966," &
	"IO_PAD399:BARE967," &
	"IO_PAD400:BARE968," &
	"IO_PAD401:BARE969," &
	"IO_PAD402:BARE970," &
	"IO_PAD403:BARE971," &
	"IO_PAD404:BARE972," &
	"IO_PAD405:BARE973," &
	"IO_PAD406:BARE974," &
	"IO_PAD407:BARE975," &
	"IO_PAD408:BARE976," &
	"IO_PAD409:BARE977," &
	"IO_PAD410:BARE978," &
	"IO_PAD411:BARE979," &
	"IO_PAD412:BARE980," &
	"IO_PAD413:BARE981," &
	"IO_PAD414:BARE982," &
	"IO_PAD415:BARE983," &
	"IO_PAD416:BARE984," &
	"IO_PAD417:BARE985," &
	"IO_PAD418:BARE986," &
	"IO_PAD419:BARE987," &
	"IO_PAD420:BARE988," &
	"IO_PAD421:BARE989," &
	"IO_PAD422:BARE990," &
	"IO_PAD423:BARE991," &
	"IO_PAD424:BARE992," &
	"IO_PAD425:BARE993," &
	"IO_PAD426:BARE994," &
	"IO_PAD427:BARE995," &
	"IO_PAD428:BARE996," &
	"IO_PAD429:BARE997," &
	"IO_PAD430:BARE998," &
	"IO_PAD431:BARE999," &
	"IO_PAD432:BARE1000," &
	"IO_PAD433:BARE1001," &
	"IO_PAD434:BARE1002," &
	"IO_PAD435:BARE1003," &
	"IO_PAD436:BARE1004," &
	"IO_PAD437:BARE1005," &
	"IO_PAD438:BARE1006," &
	"IO_PAD439:BARE1007," &
	"IO_PAD440:BARE1008," &
	"IO_PAD441:BARE1009," &
	"IO_PAD442:BARE1010," &
	"IO_PAD443:BARE1011," &
	"IO_PAD444:BARE1012," &
	"IO_PAD445:BARE1013," &
	"IO_PAD446:BARE1014," &
	"IO_PAD447:BARE1015," &
	"IO_PAD448:BARE1016," &
	"IO_PAD449:BARE1017," &
	"IO_PAD450:BARE1018," &
	"IO_PAD451:BARE1019," &
	"IO_PAD452:BARE1020," &
	"IO_PAD453:BARE1021," &
	"IO_PAD454:BARE1022," &
	"IO_PAD455:BARE1023," &
	"IO_PAD456:BARE1024," &
	"IO_PAD457:BARE1025," &
	"IO_PAD458:BARE1026," &
	"IO_PAD459:BARE1027," &
	"IO_PAD460:BARE1028," &
	"IO_PAD461:BARE1029," &
	"IO_PAD462:BARE1030," &
	"IO_PAD463:BARE1031," &
	"IO_PAD464:BARE1032," &
	"IO_PAD465:BARE1033," &
	"IO_PAD466:BARE1034," &
	"IO_PAD467:BARE1035," &
	"IO_PAD468:BARE1036," &
	"IO_PAD469:BARE1037," &
	"IO_PAD470:BARE1038," &
	"IO_PAD471:BARE1039," &
	"IO_PAD472:BARE1040," &
	"IO_PAD473:BARE1041," &
	"IO_PAD474:BARE1042," &
	"IO_PAD475:BARE1043," &
	"IO_PAD476:BARE1044," &
	"IO_PAD477:BARE1045," &
	"IO_PAD478:BARE1046," &
	"IO_PAD479:BARE1047," &
	"IO_PAD480:BARE1048," &
	"IO_PAD481:BARE1049," &
	"IO_PAD482:BARE1050," &
	"IO_PAD483:BARE1051," &
	"IO_PAD484:BARE1052," &
	"IO_PAD485:BARE1053," &
	"IO_PAD486:BARE1054," &
	"IO_PAD487:BARE1055," &
	"IO_PAD488:BARE1056," &
	"IO_PAD489:BARE1057," &
	"IO_PAD490:BARE1058," &
	"IO_PAD491:BARE1059," &
	"IO_PAD492:BARE1060," &
	"IO_PAD493:BARE1061," &
	"IO_PAD494:BARE1062," &
	"IO_PAD495:BARE1063," &
	"IO_PAD496:BARE1064," &
	"IO_PAD497:BARE1065," &
	"IO_PAD498:BARE1066," &
	"IO_PAD499:BARE1067," &
	"IO_PAD500:BARE1068," &
	"IO_PAD501:BARE1069," &
	"IO_PAD502:BARE1070," &
	"IO_PAD503:BARE1071," &
	"IO_PAD504:BARE1072," &
	"IO_PAD505:BARE1073," &
	"IO_PAD506:BARE1074," &
	"IO_PAD507:BARE1075," &
	"IO_PAD508:BARE1076," &
	"IO_PAD509:BARE1077," &
	"IO_PAD510:BARE1078," &
	"IO_PAD511:BARE1079," &
	"IO_PAD512:BARE1080," &
	"IO_PAD513:BARE1081," &
	"IO_PAD514:BARE1082," &
	"IO_PAD515:BARE1083," &
	"IO_PAD516:BARE1084," &
	"IO_PAD517:BARE1085," &
	"IO_PAD518:BARE1086," &
	"IO_PAD519:BARE1087," &
	"IO_PAD520:BARE1088," &
	"IO_PAD521:BARE1089," &
	"IO_PAD522:BARE1090," &
	"IO_PAD523:BARE1091," &
	"IO_PAD524:BARE1092," &
	"IO_PAD525:BARE1093," &
	"IO_PAD526:BARE1094," &
	"IO_PAD527:BARE1095," &
	"IO_PAD528:BARE1096," &
	"IO_PAD529:BARE1097," &
	"IO_PAD530:BARE1098," &
	"IO_PAD531:BARE1099," &
	"IO_PAD532:BARE1100," &
	"IO_PAD533:BARE1101," &
	"IO_PAD534:BARE1102," &
	"IO_PAD535:BARE1103," &
	"IO_PAD536:BARE1104," &
	"IO_PAD537:BARE1105," &
	"IO_PAD538:BARE1106," &
	"IO_PAD539:BARE1107," &
	"IO_PAD540:BARE1108," &
	"IO_PAD541:BARE1109," &
	"IO_PAD542:BARE1110," &
	"IO_PAD543:BARE1111," &
	"IO_PAD544:BARE1112," &
	"IO_PAD545:BARE1113," &
	"IO_PAD546:BARE1114," &
	"IO_PAD547:BARE1115," &
	"IO_PAD548:BARE1116," &
	"IO_PAD549:BARE1117," &
	"IO_PAD550:BARE1118," &
	"IO_PAD551:BARE1119," &
	"IO_PAD552:BARE1120," &
	"IO_PAD553:BARE1121," &
	"IO_PAD554:BARE1122," &
	"IO_PAD555:BARE1123," &
	"IO_PAD556:BARE1124," &
	"IO_PAD557:BARE1125," &
	"IO_PAD558:BARE1126," &
	"IO_PAD559:BARE1127," &
	"IO_PAD560:BARE1128," &
	"IO_PAD561:BARE1129," &
	"IO_PAD562:BARE1130," &
	"IO_PAD563:BARE1131," &
	"IO_PAD564:BARE1132," &
	"IO_PAD565:BARE1133," &
	"IO_PAD566:BARE1134," &
	"IO_PAD567:BARE1135," &
	"IO_PAD568:BARE1136," &
	"IO_PAD569:BARE1137," &
	"IO_PAD570:BARE1138," &
	"IO_PAD571:BARE1139," &
	"IO_PAD572:BARE1140," &
	"IO_PAD573:BARE1141," &
	"IO_PAD574:BARE1142," &
	"IO_PAD575:BARE1143," &
	"IO_PAD576:BARE1144," &
	"IO_PAD577:BARE1145," &
	"IO_PAD578:BARE1146," &
	"IO_PAD579:BARE1147," &
	"IO_PAD580:BARE1148," &
	"IO_PAD581:BARE1149," &
	"IO_PAD582:BARE1150," &
	"IO_PAD583:BARE1151," &
	"IO_PAD584:BARE1152," &
	"IO_PAD585:BARE1153," &
	"IO_PAD586:BARE1154," &
	"IO_PAD587:BARE1155," &
	"IO_PAD588:BARE1156," &
	"IO_PAD589:BARE1157," &
	"IO_PAD590:BARE1158," &
	"IO_PAD591:BARE1159," &
	"IO_PAD592:BARE1160," &
	"IO_PAD593:BARE1161," &
	"IO_PAD594:BARE1162," &
	"IO_PAD595:BARE1163," &
	"IO_PAD596:BARE1164," &
	"IO_PAD597:BARE1165," &
	"IO_PAD598:BARE1166," &
	"IO_PAD599:BARE1167," &
	"IO_PAD600:BARE1168," &
	"IO_PAD601:BARE1169," &
	"IO_PAD602:BARE1170," &
	"IO_PAD603:BARE1171," &
	"IO_PAD604:BARE1172," &
	"IO_PAD605:BARE1173," &
	"IO_PAD606:BARE1174," &
	"IO_PAD607:BARE1175," &
	"IO_PAD608:BARE1176," &
	"IO_PAD609:BARE1177," &
	"IO_PAD610:BARE1178," &
	"IO_PAD611:BARE1179," &
	"IO_PAD612:BARE1180," &
	"IO_PAD613:BARE1181," &
	"IO_PAD614:BARE1182," &
	"IO_PAD615:BARE1183," &
	"IO_PAD616:BARE1184," &
	"IO_PAD617:BARE1185," &
	"IO_PAD618:BARE1186," &
	"IO_PAD619:BARE1187," &
	"IO_PAD620:BARE1188," &
	"IO_PAD621:BARE1189," &
	"IO_PAD622:BARE1190," &
	"IO_PAD623:BARE1191," &
	"IO_PAD624:BARE1192," &
	"IO_PAD625:BARE1193," &
	"IO_PAD626:BARE1194," &
	"IO_PAD627:BARE1195," &
	"IO_PAD628:BARE1196," &
	"IO_PAD629:BARE1197," &
	"IO_PAD630:BARE1198," &
	"IO_PAD631:BARE1199," &
	"IO_PAD632:BARE1200," &
	"IO_PAD633:BARE1201," &
	"IO_PAD634:BARE1202," &
	"IO_PAD635:BARE1203," &
	"IO_PAD636:BARE1204," &
	"IO_PAD637:BARE1205," &
	"IO_PAD638:BARE1206," &
	"IO_PAD639:BARE1207," &
	"IO_PAD640:BARE1208," &
	"IO_PAD641:BARE1209," &
	"IO_PAD642:BARE1210," &
	"IO_PAD643:BARE1211," &
	"IO_PAD644:BARE1212," &
	"IO_PAD645:BARE1213," &
	"IO_PAD646:BARE1214," &
	"IO_PAD647:BARE1215," &
	"IO_PAD648:BARE1216," &
	"IO_PAD649:BARE1217," &
	"IO_PAD650:BARE1218," &
	"IO_PAD651:BARE1219," &
	"IO_PAD652:BARE1220," &
	"IO_PAD653:BARE1221," &
	"IO_PAD654:BARE1222," &
	"IO_PAD655:BARE1223," &
	"IO_PAD656:BARE1224," &
	"IO_PAD657:BARE1225," &
	"IO_PAD658:BARE1226," &
	"IO_PAD659:BARE1227," &
	"IO_PAD660:BARE1228," &
	"IO_PAD661:BARE1229," &
	"IO_PAD662:BARE1230," &
	"IO_PAD663:BARE1231," &
	"IO_PAD664:BARE1232," &
	"IO_PAD665:BARE1233," &
	"IO_PAD666:BARE1234," &
	"IO_PAD667:BARE1235," &
	"IO_PAD668:BARE1236," &
	"IO_PAD669:BARE1237," &
	"IO_PAD670:BARE1238," &
	"IO_PAD671:BARE1239," &
	"IO_PAD672:BARE1240," &
	"IO_PAD673:BARE1241," &
	"IO_PAD674:BARE1242," &
	"IO_PAD675:BARE1243," &
	"IO_PAD676:BARE1244," &
	"IO_PAD677:BARE1245," &
	"IO_PAD678:BARE1246," &
	"IO_PAD679:BARE1247," &
	"IO_PAD680:BARE1248," &
	"IO_PAD681:BARE1249," &
	"IO_PAD682:BARE1250," &
	"IO_PAD683:BARE1251," &
	"IO_PAD684:BARE1252," &
	"IO_PAD685:BARE1253," &
	"IO_PAD686:BARE1254," &
	"IO_PAD687:BARE1255," &
	"IO_PAD688:BARE1256," &
	"IO_PAD689:BARE1257," &
	"IO_PAD690:BARE1258," &
	"IO_PAD691:BARE1259," &
	"IO_PAD692:BARE1260," &
	"IO_PAD693:BARE1261," &
	"IO_PAD694:BARE1262," &
	"IO_PAD695:BARE1263," &
	"IO_PAD696:BARE1264," &
	"IO_PAD697:BARE1265," &
	"IO_PAD698:BARE1266," &
	"IO_PAD699:BARE1267," &
	"IO_PAD700:BARE1268," &
	"IO_PAD701:BARE1269," &
	"IO_PAD702:BARE1270," &
	"IO_PAD703:BARE1271," &
	"IO_PAD704:BARE1272," &
	"IO_PAD705:BARE1273," &
	"IO_PAD706:BARE1274," &
	"IO_PAD707:BARE1275," &
	"IO_PAD708:BARE1276," &
	"IO_PAD709:BARE1277," &
	"IO_PAD710:BARE1278," &
	"IO_PAD711:BARE1279," &
	"IO_PAD712:BARE1280," &
	"IO_PAD713:BARE1281," &
	"IO_PAD714:BARE1282," &
	"IO_PAD715:BARE1283," &
	"IO_PAD716:BARE1284," &
	"IO_PAD717:BARE1285," &
	"IO_PAD718:BARE1286," &
	"IO_PAD719:BARE1287," &
	"IO_PAD720:BARE1288";


-- Grouped Port Identification

attribute PORT_GROUPING of XC6VHX380T : entity is
"DIFFERENTIAL_VOLTAGE (" &
"(MGTRXP0_100, MGTRXN0_100), " &
"(MGTRXP0_101, MGTRXN0_101), " &
"(MGTRXP0_102, MGTRXN0_102), " &
"(MGTRXP0_103, MGTRXN0_103), " &
"(MGTRXP0_104, MGTRXN0_104), " &
"(MGTRXP0_105, MGTRXN0_105), " &
"(MGTRXP0_106, MGTRXN0_106), " &
"(MGTRXP0_107, MGTRXN0_107), " &
"(MGTRXP0_108, MGTRXN0_108), " &
"(MGTRXP0_110, MGTRXN0_110), " &
"(MGTRXP0_111, MGTRXN0_111), " &
"(MGTRXP0_112, MGTRXN0_112), " &
"(MGTRXP0_113, MGTRXN0_113), " &
"(MGTRXP0_114, MGTRXN0_114), " &
"(MGTRXP0_115, MGTRXN0_115), " &
"(MGTRXP0_116, MGTRXN0_116), " &
"(MGTRXP0_117, MGTRXN0_117), " &
"(MGTRXP0_118, MGTRXN0_118), " &
"(MGTRXP1_100, MGTRXN1_100), " &
"(MGTRXP1_101, MGTRXN1_101), " &
"(MGTRXP1_102, MGTRXN1_102), " &
"(MGTRXP1_103, MGTRXN1_103), " &
"(MGTRXP1_104, MGTRXN1_104), " &
"(MGTRXP1_105, MGTRXN1_105), " &
"(MGTRXP1_106, MGTRXN1_106), " &
"(MGTRXP1_107, MGTRXN1_107), " &
"(MGTRXP1_108, MGTRXN1_108), " &
"(MGTRXP1_110, MGTRXN1_110), " &
"(MGTRXP1_111, MGTRXN1_111), " &
"(MGTRXP1_112, MGTRXN1_112), " &
"(MGTRXP1_113, MGTRXN1_113), " &
"(MGTRXP1_114, MGTRXN1_114), " &
"(MGTRXP1_115, MGTRXN1_115), " &
"(MGTRXP1_116, MGTRXN1_116), " &
"(MGTRXP1_117, MGTRXN1_117), " &
"(MGTRXP1_118, MGTRXN1_118), " &
"(MGTRXP2_100, MGTRXN2_100), " &
"(MGTRXP2_101, MGTRXN2_101), " &
"(MGTRXP2_102, MGTRXN2_102), " &
"(MGTRXP2_103, MGTRXN2_103), " &
"(MGTRXP2_104, MGTRXN2_104), " &
"(MGTRXP2_105, MGTRXN2_105), " &
"(MGTRXP2_106, MGTRXN2_106), " &
"(MGTRXP2_107, MGTRXN2_107), " &
"(MGTRXP2_108, MGTRXN2_108), " &
"(MGTRXP2_110, MGTRXN2_110), " &
"(MGTRXP2_111, MGTRXN2_111), " &
"(MGTRXP2_112, MGTRXN2_112), " &
"(MGTRXP2_113, MGTRXN2_113), " &
"(MGTRXP2_114, MGTRXN2_114), " &
"(MGTRXP2_115, MGTRXN2_115), " &
"(MGTRXP2_116, MGTRXN2_116), " &
"(MGTRXP2_117, MGTRXN2_117), " &
"(MGTRXP2_118, MGTRXN2_118), " &
"(MGTRXP3_100, MGTRXN3_100), " &
"(MGTRXP3_101, MGTRXN3_101), " &
"(MGTRXP3_102, MGTRXN3_102), " &
"(MGTRXP3_103, MGTRXN3_103), " &
"(MGTRXP3_104, MGTRXN3_104), " &
"(MGTRXP3_105, MGTRXN3_105), " &
"(MGTRXP3_106, MGTRXN3_106), " &
"(MGTRXP3_107, MGTRXN3_107), " &
"(MGTRXP3_108, MGTRXN3_108), " &
"(MGTRXP3_110, MGTRXN3_110), " &
"(MGTRXP3_111, MGTRXN3_111), " &
"(MGTRXP3_112, MGTRXN3_112), " &
"(MGTRXP3_113, MGTRXN3_113), " &
"(MGTRXP3_114, MGTRXN3_114), " &
"(MGTRXP3_115, MGTRXN3_115), " &
"(MGTRXP3_116, MGTRXN3_116), " &
"(MGTRXP3_117, MGTRXN3_117), " &
"(MGTRXP3_118, MGTRXN3_118), " &
"(MGTTXP0_100, MGTTXN0_100), " &
"(MGTTXP0_101, MGTTXN0_101), " &
"(MGTTXP0_102, MGTTXN0_102), " &
"(MGTTXP0_103, MGTTXN0_103), " &
"(MGTTXP0_104, MGTTXN0_104), " &
"(MGTTXP0_105, MGTTXN0_105), " &
"(MGTTXP0_106, MGTTXN0_106), " &
"(MGTTXP0_107, MGTTXN0_107), " &
"(MGTTXP0_108, MGTTXN0_108), " &
"(MGTTXP0_110, MGTTXN0_110), " &
"(MGTTXP0_111, MGTTXN0_111), " &
"(MGTTXP0_112, MGTTXN0_112), " &
"(MGTTXP0_113, MGTTXN0_113), " &
"(MGTTXP0_114, MGTTXN0_114), " &
"(MGTTXP0_115, MGTTXN0_115), " &
"(MGTTXP0_116, MGTTXN0_116), " &
"(MGTTXP0_117, MGTTXN0_117), " &
"(MGTTXP0_118, MGTTXN0_118), " &
"(MGTTXP1_100, MGTTXN1_100), " &
"(MGTTXP1_101, MGTTXN1_101), " &
"(MGTTXP1_102, MGTTXN1_102), " &
"(MGTTXP1_103, MGTTXN1_103), " &
"(MGTTXP1_104, MGTTXN1_104), " &
"(MGTTXP1_105, MGTTXN1_105), " &
"(MGTTXP1_106, MGTTXN1_106), " &
"(MGTTXP1_107, MGTTXN1_107), " &
"(MGTTXP1_108, MGTTXN1_108), " &
"(MGTTXP1_110, MGTTXN1_110), " &
"(MGTTXP1_111, MGTTXN1_111), " &
"(MGTTXP1_112, MGTTXN1_112), " &
"(MGTTXP1_113, MGTTXN1_113), " &
"(MGTTXP1_114, MGTTXN1_114), " &
"(MGTTXP1_115, MGTTXN1_115), " &
"(MGTTXP1_116, MGTTXN1_116), " &
"(MGTTXP1_117, MGTTXN1_117), " &
"(MGTTXP1_118, MGTTXN1_118), " &
"(MGTTXP2_100, MGTTXN2_100), " &
"(MGTTXP2_101, MGTTXN2_101), " &
"(MGTTXP2_102, MGTTXN2_102), " &
"(MGTTXP2_103, MGTTXN2_103), " &
"(MGTTXP2_104, MGTTXN2_104), " &
"(MGTTXP2_105, MGTTXN2_105), " &
"(MGTTXP2_106, MGTTXN2_106), " &
"(MGTTXP2_107, MGTTXN2_107), " &
"(MGTTXP2_108, MGTTXN2_108), " &
"(MGTTXP2_110, MGTTXN2_110), " &
"(MGTTXP2_111, MGTTXN2_111), " &
"(MGTTXP2_112, MGTTXN2_112), " &
"(MGTTXP2_113, MGTTXN2_113), " &
"(MGTTXP2_114, MGTTXN2_114), " &
"(MGTTXP2_115, MGTTXN2_115), " &
"(MGTTXP2_116, MGTTXN2_116), " &
"(MGTTXP2_117, MGTTXN2_117), " &
"(MGTTXP2_118, MGTTXN2_118), " &
"(MGTTXP3_100, MGTTXN3_100), " &
"(MGTTXP3_101, MGTTXN3_101), " &
"(MGTTXP3_102, MGTTXN3_102), " &
"(MGTTXP3_103, MGTTXN3_103), " &
"(MGTTXP3_104, MGTTXN3_104), " &
"(MGTTXP3_105, MGTTXN3_105), " &
"(MGTTXP3_106, MGTTXN3_106), " &
"(MGTTXP3_107, MGTTXN3_107), " &
"(MGTTXP3_108, MGTTXN3_108), " &
"(MGTTXP3_110, MGTTXN3_110), " &
"(MGTTXP3_111, MGTTXN3_111), " &
"(MGTTXP3_112, MGTTXN3_112), " &
"(MGTTXP3_113, MGTTXN3_113), " &
"(MGTTXP3_114, MGTTXN3_114), " &
"(MGTTXP3_115, MGTTXN3_115), " &
"(MGTTXP3_116, MGTTXN3_116), " &
"(MGTTXP3_117, MGTTXN3_117), " &
"(MGTTXP3_118, MGTTXN3_118))";

-- Scan Port Identification

attribute TAP_SCAN_IN    of TDI : signal is true;
attribute TAP_SCAN_MODE  of TMS : signal is true;
attribute TAP_SCAN_OUT   of TDO : signal is true;
attribute TAP_SCAN_CLOCK of TCK : signal is (66.0e6, BOTH);

-- Compliance-Enable Description

attribute COMPLIANCE_PATTERNS of XC6VHX380T : entity is
        "(PROGRAM_B, HSWAPEN) (10)";

-- Instruction Register Description

attribute INSTRUCTION_LENGTH of XC6VHX380T : entity is 10;

attribute INSTRUCTION_OPCODE of XC6VHX380T : entity is
        "EXTEST         (1111000000)," &
        "EXTEST_PULSE   (1111111100)," &
        "EXTEST_TRAIN   (1111111101)," &
        "SAMPLE    (1111000001)," &
        "PRELOAD   (1111000001)," & -- Same as SAMPLE
        "USER1     (1111000010)," & -- Not available until after configuration
        "USER2     (1111000011)," & -- Not available until after configuration
        "USER3     (1111100010)," & -- Not available until after configuration
        "USER4     (1111100011)," & -- Not available until after configuration
        "CFG_OUT   (1111000100)," & -- Not available during configuration with another mode.
        "CFG_IN    (1111000101)," & -- Not available during configuration with another mode.
        "JRSVD     (1111000110)," &
        "INTEST_RSVD    (1111000111)," &
        "USERCODE  (1111001000)," &
        "IDCODE    (1111001001)," &
        "HIGHZ     (1111001010)," &
        "JPROGRAM  (1111001011)," & -- Not available during configuration with another mode.
        "JSTART    (1111001100)," & -- Not available during configuration with another mode.
        "JSHUTDOWN (1111001101)," & -- Not available during configuration with another mode.
        "ISC_DNA   (1111010111)," &
        "BYPASS    (1111111111)," &
        "FUSE_UPDATE      (1111110000)," &
        "FUSE_KEY         (1111110001)," &
        "FUSE_ID          (1111110010)," &
        "FUSE_USER        (1111110011)," &
        "FUSE_CNTL        (1111110100)," &
        "MONITOR_DRP      (1111110111)," &
	"ISC_ENABLE           (1111010000)," &
	"ISC_PROGRAM          (1111010001)," &
	"ISC_PROGRAM_KEY      (1111010010)," &
	"ISC_ADDRESS_SHIFT    (1111010011)," &
	"ISC_NOOP             (1111010100)," &
	"ISC_READ             (1111010101)," &
	"ISC_DISABLE          (1111010110)";

attribute INSTRUCTION_CAPTURE of XC6VHX380T : entity is
-- Bit 5 is 1 when DONE is released (part of startup sequence)
-- Bit 4 is 1 if house-cleaning is complete
-- Bit 3 is ISC_Enabled
-- Bit 2 is ISC_Done
        "XXXXXXXX01";

attribute INSTRUCTION_PRIVATE of XC6VHX380T : entity is
-- If the device is configured, and a USER instruction is implemented
-- and not private to the FPGA designer, then it should be removed
-- from INSTRUCTION_PRIVATE, and the target register should be defined
-- in REGISTER_ACCESS.
        "USER1," &
        "USER2," &
        "USER3," &
        "USER4," &
        "CFG_OUT," &
        "CFG_IN," &
        "JRSVD," &
        "JPROGRAM," &
        "JSTART," &
        "JSHUTDOWN," &
        "INTEST_RSVD," &
        "FUSE_UPDATE," &
        "FUSE_KEY," &
        "FUSE_ID," &
        "FUSE_USER," &
        "FUSE_CNTL," &
        "MONITOR_DRP," &
	"ISC_ENABLE," &
	"ISC_PROGRAM," &
	"ISC_PROGRAM_KEY," &
	"ISC_ADDRESS_SHIFT," &
	"ISC_NOOP," &
	"ISC_READ," &
	"ISC_DISABLE";

-- Optional Register Description

attribute IDCODE_REGISTER of XC6VHX380T : entity is
	"XXXX" &	-- version
	"0100001" &	-- family
	"010101000" &	-- array size
	"00001001001" &	-- manufacturer
	"1";		-- required by 1149.1


attribute USERCODE_REGISTER of XC6VHX380T : entity is
        "XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX";

-- Register Access Description

attribute REGISTER_ACCESS of XC6VHX380T : entity is
--	"<reg_name>[<length>] (USER1)," &
--	"<reg_name>[<length>] (USER2)," &
--	"<reg_name>[<length>] (USER3)," &
--	"<reg_name>[<length>] (USER4)," &
        "DATAREG[57] (ISC_DNA)," &
        "BYPASS (HIGHZ,BYPASS)," &
	"DEVICE_ID (USERCODE,IDCODE)," &
	"BOUNDARY (SAMPLE,PRELOAD,EXTEST,EXTEST_PULSE,EXTEST_TRAIN)";

-- Boundary-Scan Register Description

attribute BOUNDARY_LENGTH of XC6VHX380T : entity is 2437;

attribute BOUNDARY_REGISTER of XC6VHX380T : entity is
-- cellnum (type, port, function, safe[, ccell, disval, disrslt])
	"   0 (BC_2, *, controlr, 1)," &
	"   1 (BC_2, CCLK_0, output3, X, 0, 1, PULL1)," &
	"   2 (BC_2, CCLK_0, input, X)," &
	"   3 (BC_2, *, controlr, 1)," &
	"   4 (BC_2, DOUT_BUSY_0, output3, X, 3, 1, PULL1)," &
	"   5 (BC_2, RDWR_B_0, input, X)," &
	"   6 (BC_2, CSI_B_0, input, X)," &
	"   7 (BC_2, DIN_0, input, X)," &
	"   8 (BC_2, *, internal, 1)," & --  PROGRAM_B
	"   9 (BC_2, *, internal, 0)," & --  HSWAPEN
	"  10 (BC_2, M0_0, input, X)," &
	"  11 (BC_2, M1_0, input, X)," &
	"  12 (BC_2, M2_0, input, X)," &
	"  13 (BC_2, *, controlr, 1)," &
	"  14 (BC_2, INIT_B_0, output3, X, 13, 1, PULL1)," &
	"  15 (BC_2, INIT_B_0, input, X)," &
	"  16 (BC_2, *, controlr, 1)," &
	"  17 (BC_2, DONE_0, output3, X, 16, 1, PULL1)," &
	"  18 (BC_2, DONE_0, input, X)," &
	"  19 (BC_2, *, internal, X)," &
	"  20 (BC_2, *, internal, X)," &
	"  21 (BC_2, *, internal, X)," &
	"  22 (BC_2, *, internal, X)," &
	"  23 (BC_2, *, internal, X)," &
	"  24 (BC_2, *, internal, X)," &
	"  25 (BC_2, *, internal, X)," &
	"  26 (BC_2, *, internal, X)," &
	"  27 (BC_2, *, internal, X)," &
	"  28 (BC_2, *, internal, X)," &
	"  29 (BC_2, *, internal, X)," &
	"  30 (BC_2, *, internal, X)," &
	"  31 (BC_2, *, controlr, 1)," &
	"  32 (BC_2, IO_PAD720, output3, X, 31, 1, PULL1)," & --  PAD720
	"  33 (BC_2, IO_PAD720, input, X)," & --  PAD720
	"  34 (BC_2, *, controlr, 1)," &
	"  35 (BC_2, IO_PAD719, output3, X, 34, 1, PULL1)," & --  PAD719
	"  36 (BC_2, IO_PAD719, input, X)," & --  PAD719
	"  37 (BC_2, *, controlr, 1)," &
	"  38 (BC_2, IO_PAD718, output3, X, 37, 1, PULL1)," & --  PAD718
	"  39 (BC_2, IO_PAD718, input, X)," & --  PAD718
	"  40 (BC_2, *, controlr, 1)," &
	"  41 (BC_2, IO_PAD717, output3, X, 40, 1, PULL1)," & --  PAD717
	"  42 (BC_2, IO_PAD717, input, X)," & --  PAD717
	"  43 (BC_2, *, controlr, 1)," &
	"  44 (BC_2, IO_PAD716, output3, X, 43, 1, PULL1)," & --  PAD716
	"  45 (BC_2, IO_PAD716, input, X)," & --  PAD716
	"  46 (BC_2, *, controlr, 1)," &
	"  47 (BC_2, IO_PAD715, output3, X, 46, 1, PULL1)," & --  PAD715
	"  48 (BC_2, IO_PAD715, input, X)," & --  PAD715
	"  49 (BC_2, *, controlr, 1)," &
	"  50 (BC_2, IO_PAD714, output3, X, 49, 1, PULL1)," & --  PAD714
	"  51 (BC_2, IO_PAD714, input, X)," & --  PAD714
	"  52 (BC_2, *, controlr, 1)," &
	"  53 (BC_2, IO_PAD713, output3, X, 52, 1, PULL1)," & --  PAD713
	"  54 (BC_2, IO_PAD713, input, X)," & --  PAD713
	"  55 (BC_2, *, controlr, 1)," &
	"  56 (BC_2, IO_PAD712, output3, X, 55, 1, PULL1)," & --  PAD712
	"  57 (BC_2, IO_PAD712, input, X)," & --  PAD712
	"  58 (BC_2, *, controlr, 1)," &
	"  59 (BC_2, IO_PAD711, output3, X, 58, 1, PULL1)," & --  PAD711
	"  60 (BC_2, IO_PAD711, input, X)," & --  PAD711
	"  61 (BC_2, *, controlr, 1)," &
	"  62 (BC_2, IO_PAD710, output3, X, 61, 1, PULL1)," & --  PAD710
	"  63 (BC_2, IO_PAD710, input, X)," & --  PAD710
	"  64 (BC_2, *, controlr, 1)," &
	"  65 (BC_2, IO_PAD709, output3, X, 64, 1, PULL1)," & --  PAD709
	"  66 (BC_2, IO_PAD709, input, X)," & --  PAD709
	"  67 (BC_2, *, controlr, 1)," &
	"  68 (BC_2, IO_PAD708, output3, X, 67, 1, PULL1)," & --  PAD708
	"  69 (BC_2, IO_PAD708, input, X)," & --  PAD708
	"  70 (BC_2, *, controlr, 1)," &
	"  71 (BC_2, IO_PAD707, output3, X, 70, 1, PULL1)," & --  PAD707
	"  72 (BC_2, IO_PAD707, input, X)," & --  PAD707
	"  73 (BC_2, *, controlr, 1)," &
	"  74 (BC_2, IO_PAD706, output3, X, 73, 1, PULL1)," & --  PAD706
	"  75 (BC_2, IO_PAD706, input, X)," & --  PAD706
	"  76 (BC_2, *, controlr, 1)," &
	"  77 (BC_2, IO_PAD705, output3, X, 76, 1, PULL1)," & --  PAD705
	"  78 (BC_2, IO_PAD705, input, X)," & --  PAD705
	"  79 (BC_2, *, controlr, 1)," &
	"  80 (BC_2, IO_PAD704, output3, X, 79, 1, PULL1)," & --  PAD704
	"  81 (BC_2, IO_PAD704, input, X)," & --  PAD704
	"  82 (BC_2, *, controlr, 1)," &
	"  83 (BC_2, IO_PAD703, output3, X, 82, 1, PULL1)," & --  PAD703
	"  84 (BC_2, IO_PAD703, input, X)," & --  PAD703
	"  85 (BC_2, *, controlr, 1)," &
	"  86 (BC_2, IO_PAD702, output3, X, 85, 1, PULL1)," & --  PAD702
	"  87 (BC_2, IO_PAD702, input, X)," & --  PAD702
	"  88 (BC_2, *, controlr, 1)," &
	"  89 (BC_2, IO_PAD701, output3, X, 88, 1, PULL1)," & --  PAD701
	"  90 (BC_2, IO_PAD701, input, X)," & --  PAD701
	"  91 (BC_2, *, controlr, 1)," &
	"  92 (BC_2, IO_PAD700, output3, X, 91, 1, PULL1)," & --  PAD700
	"  93 (BC_2, IO_PAD700, input, X)," & --  PAD700
	"  94 (BC_2, *, controlr, 1)," &
	"  95 (BC_2, IO_PAD699, output3, X, 94, 1, PULL1)," & --  PAD699
	"  96 (BC_2, IO_PAD699, input, X)," & --  PAD699
	"  97 (BC_2, *, controlr, 1)," &
	"  98 (BC_2, IO_PAD698, output3, X, 97, 1, PULL1)," & --  PAD698
	"  99 (BC_2, IO_PAD698, input, X)," & --  PAD698
	" 100 (BC_2, *, controlr, 1)," &
	" 101 (BC_2, IO_PAD697, output3, X, 100, 1, PULL1)," & --  PAD697
	" 102 (BC_2, IO_PAD697, input, X)," & --  PAD697
	" 103 (BC_2, *, controlr, 1)," &
	" 104 (BC_2, IO_PAD696, output3, X, 103, 1, PULL1)," & --  PAD696
	" 105 (BC_2, IO_PAD696, input, X)," & --  PAD696
	" 106 (BC_2, *, controlr, 1)," &
	" 107 (BC_2, IO_PAD695, output3, X, 106, 1, PULL1)," & --  PAD695
	" 108 (BC_2, IO_PAD695, input, X)," & --  PAD695
	" 109 (BC_2, *, controlr, 1)," &
	" 110 (BC_2, IO_PAD694, output3, X, 109, 1, PULL1)," & --  PAD694
	" 111 (BC_2, IO_PAD694, input, X)," & --  PAD694
	" 112 (BC_2, *, controlr, 1)," &
	" 113 (BC_2, IO_PAD693, output3, X, 112, 1, PULL1)," & --  PAD693
	" 114 (BC_2, IO_PAD693, input, X)," & --  PAD693
	" 115 (BC_2, *, controlr, 1)," &
	" 116 (BC_2, IO_PAD692, output3, X, 115, 1, PULL1)," & --  PAD692
	" 117 (BC_2, IO_PAD692, input, X)," & --  PAD692
	" 118 (BC_2, *, controlr, 1)," &
	" 119 (BC_2, IO_PAD691, output3, X, 118, 1, PULL1)," & --  PAD691
	" 120 (BC_2, IO_PAD691, input, X)," & --  PAD691
	" 121 (BC_2, *, controlr, 1)," &
	" 122 (BC_2, IO_PAD690, output3, X, 121, 1, PULL1)," & --  PAD690
	" 123 (BC_2, IO_PAD690, input, X)," & --  PAD690
	" 124 (BC_2, *, controlr, 1)," &
	" 125 (BC_2, IO_PAD689, output3, X, 124, 1, PULL1)," & --  PAD689
	" 126 (BC_2, IO_PAD689, input, X)," & --  PAD689
	" 127 (BC_2, *, controlr, 1)," &
	" 128 (BC_2, IO_PAD688, output3, X, 127, 1, PULL1)," & --  PAD688
	" 129 (BC_2, IO_PAD688, input, X)," & --  PAD688
	" 130 (BC_2, *, controlr, 1)," &
	" 131 (BC_2, IO_PAD687, output3, X, 130, 1, PULL1)," & --  PAD687
	" 132 (BC_2, IO_PAD687, input, X)," & --  PAD687
	" 133 (BC_2, *, controlr, 1)," &
	" 134 (BC_2, IO_PAD686, output3, X, 133, 1, PULL1)," & --  PAD686
	" 135 (BC_2, IO_PAD686, input, X)," & --  PAD686
	" 136 (BC_2, *, controlr, 1)," &
	" 137 (BC_2, IO_PAD685, output3, X, 136, 1, PULL1)," & --  PAD685
	" 138 (BC_2, IO_PAD685, input, X)," & --  PAD685
	" 139 (BC_2, *, controlr, 1)," &
	" 140 (BC_2, IO_PAD684, output3, X, 139, 1, PULL1)," & --  PAD684
	" 141 (BC_2, IO_PAD684, input, X)," & --  PAD684
	" 142 (BC_2, *, controlr, 1)," &
	" 143 (BC_2, IO_PAD683, output3, X, 142, 1, PULL1)," & --  PAD683
	" 144 (BC_2, IO_PAD683, input, X)," & --  PAD683
	" 145 (BC_2, *, controlr, 1)," &
	" 146 (BC_2, IO_PAD682, output3, X, 145, 1, PULL1)," & --  PAD682
	" 147 (BC_2, IO_PAD682, input, X)," & --  PAD682
	" 148 (BC_2, *, controlr, 1)," &
	" 149 (BC_2, IO_PAD681, output3, X, 148, 1, PULL1)," & --  PAD681
	" 150 (BC_2, IO_PAD681, input, X)," & --  PAD681
	" 151 (BC_2, *, controlr, 1)," &
	" 152 (BC_2, IO_PAD680, output3, X, 151, 1, PULL1)," & --  PAD680
	" 153 (BC_2, IO_PAD680, input, X)," & --  PAD680
	" 154 (BC_2, *, controlr, 1)," &
	" 155 (BC_2, IO_PAD679, output3, X, 154, 1, PULL1)," & --  PAD679
	" 156 (BC_2, IO_PAD679, input, X)," & --  PAD679
	" 157 (BC_2, *, controlr, 1)," &
	" 158 (BC_2, IO_PAD678, output3, X, 157, 1, PULL1)," & --  PAD678
	" 159 (BC_2, IO_PAD678, input, X)," & --  PAD678
	" 160 (BC_2, *, controlr, 1)," &
	" 161 (BC_2, IO_PAD677, output3, X, 160, 1, PULL1)," & --  PAD677
	" 162 (BC_2, IO_PAD677, input, X)," & --  PAD677
	" 163 (BC_2, *, controlr, 1)," &
	" 164 (BC_2, IO_PAD676, output3, X, 163, 1, PULL1)," & --  PAD676
	" 165 (BC_2, IO_PAD676, input, X)," & --  PAD676
	" 166 (BC_2, *, controlr, 1)," &
	" 167 (BC_2, IO_PAD675, output3, X, 166, 1, PULL1)," & --  PAD675
	" 168 (BC_2, IO_PAD675, input, X)," & --  PAD675
	" 169 (BC_2, *, controlr, 1)," &
	" 170 (BC_2, IO_PAD674, output3, X, 169, 1, PULL1)," & --  PAD674
	" 171 (BC_2, IO_PAD674, input, X)," & --  PAD674
	" 172 (BC_2, *, controlr, 1)," &
	" 173 (BC_2, IO_PAD673, output3, X, 172, 1, PULL1)," & --  PAD673
	" 174 (BC_2, IO_PAD673, input, X)," & --  PAD673
	" 175 (BC_2, *, controlr, 1)," &
	" 176 (BC_2, IO_PAD672, output3, X, 175, 1, PULL1)," & --  PAD672
	" 177 (BC_2, IO_PAD672, input, X)," & --  PAD672
	" 178 (BC_2, *, controlr, 1)," &
	" 179 (BC_2, IO_PAD671, output3, X, 178, 1, PULL1)," & --  PAD671
	" 180 (BC_2, IO_PAD671, input, X)," & --  PAD671
	" 181 (BC_2, *, controlr, 1)," &
	" 182 (BC_2, IO_PAD670, output3, X, 181, 1, PULL1)," & --  PAD670
	" 183 (BC_2, IO_PAD670, input, X)," & --  PAD670
	" 184 (BC_2, *, controlr, 1)," &
	" 185 (BC_2, IO_PAD669, output3, X, 184, 1, PULL1)," & --  PAD669
	" 186 (BC_2, IO_PAD669, input, X)," & --  PAD669
	" 187 (BC_2, *, controlr, 1)," &
	" 188 (BC_2, IO_PAD668, output3, X, 187, 1, PULL1)," & --  PAD668
	" 189 (BC_2, IO_PAD668, input, X)," & --  PAD668
	" 190 (BC_2, *, controlr, 1)," &
	" 191 (BC_2, IO_PAD667, output3, X, 190, 1, PULL1)," & --  PAD667
	" 192 (BC_2, IO_PAD667, input, X)," & --  PAD667
	" 193 (BC_2, *, controlr, 1)," &
	" 194 (BC_2, IO_PAD666, output3, X, 193, 1, PULL1)," & --  PAD666
	" 195 (BC_2, IO_PAD666, input, X)," & --  PAD666
	" 196 (BC_2, *, controlr, 1)," &
	" 197 (BC_2, IO_PAD665, output3, X, 196, 1, PULL1)," & --  PAD665
	" 198 (BC_2, IO_PAD665, input, X)," & --  PAD665
	" 199 (BC_2, *, controlr, 1)," &
	" 200 (BC_2, IO_PAD664, output3, X, 199, 1, PULL1)," & --  PAD664
	" 201 (BC_2, IO_PAD664, input, X)," & --  PAD664
	" 202 (BC_2, *, controlr, 1)," &
	" 203 (BC_2, IO_PAD663, output3, X, 202, 1, PULL1)," & --  PAD663
	" 204 (BC_2, IO_PAD663, input, X)," & --  PAD663
	" 205 (BC_2, *, controlr, 1)," &
	" 206 (BC_2, IO_PAD662, output3, X, 205, 1, PULL1)," & --  PAD662
	" 207 (BC_2, IO_PAD662, input, X)," & --  PAD662
	" 208 (BC_2, *, controlr, 1)," &
	" 209 (BC_2, IO_PAD661, output3, X, 208, 1, PULL1)," & --  PAD661
	" 210 (BC_2, IO_PAD661, input, X)," & --  PAD661
	" 211 (BC_2, *, controlr, 1)," &
	" 212 (BC_2, IO_PAD660, output3, X, 211, 1, PULL1)," & --  PAD660
	" 213 (BC_2, IO_PAD660, input, X)," & --  PAD660
	" 214 (BC_2, *, controlr, 1)," &
	" 215 (BC_2, IO_PAD659, output3, X, 214, 1, PULL1)," & --  PAD659
	" 216 (BC_2, IO_PAD659, input, X)," & --  PAD659
	" 217 (BC_2, *, controlr, 1)," &
	" 218 (BC_2, IO_PAD658, output3, X, 217, 1, PULL1)," & --  PAD658
	" 219 (BC_2, IO_PAD658, input, X)," & --  PAD658
	" 220 (BC_2, *, controlr, 1)," &
	" 221 (BC_2, IO_PAD657, output3, X, 220, 1, PULL1)," & --  PAD657
	" 222 (BC_2, IO_PAD657, input, X)," & --  PAD657
	" 223 (BC_2, *, controlr, 1)," &
	" 224 (BC_2, IO_PAD656, output3, X, 223, 1, PULL1)," & --  PAD656
	" 225 (BC_2, IO_PAD656, input, X)," & --  PAD656
	" 226 (BC_2, *, controlr, 1)," &
	" 227 (BC_2, IO_PAD655, output3, X, 226, 1, PULL1)," & --  PAD655
	" 228 (BC_2, IO_PAD655, input, X)," & --  PAD655
	" 229 (BC_2, *, controlr, 1)," &
	" 230 (BC_2, IO_PAD654, output3, X, 229, 1, PULL1)," & --  PAD654
	" 231 (BC_2, IO_PAD654, input, X)," & --  PAD654
	" 232 (BC_2, *, controlr, 1)," &
	" 233 (BC_2, IO_PAD653, output3, X, 232, 1, PULL1)," & --  PAD653
	" 234 (BC_2, IO_PAD653, input, X)," & --  PAD653
	" 235 (BC_2, *, controlr, 1)," &
	" 236 (BC_2, IO_PAD652, output3, X, 235, 1, PULL1)," & --  PAD652
	" 237 (BC_2, IO_PAD652, input, X)," & --  PAD652
	" 238 (BC_2, *, controlr, 1)," &
	" 239 (BC_2, IO_PAD651, output3, X, 238, 1, PULL1)," & --  PAD651
	" 240 (BC_2, IO_PAD651, input, X)," & --  PAD651
	" 241 (BC_2, *, controlr, 1)," &
	" 242 (BC_2, IO_PAD650, output3, X, 241, 1, PULL1)," & --  PAD650
	" 243 (BC_2, IO_PAD650, input, X)," & --  PAD650
	" 244 (BC_2, *, controlr, 1)," &
	" 245 (BC_2, IO_PAD649, output3, X, 244, 1, PULL1)," & --  PAD649
	" 246 (BC_2, IO_PAD649, input, X)," & --  PAD649
	" 247 (BC_2, *, controlr, 1)," &
	" 248 (BC_2, IO_PAD648, output3, X, 247, 1, PULL1)," & --  PAD648
	" 249 (BC_2, IO_PAD648, input, X)," & --  PAD648
	" 250 (BC_2, *, controlr, 1)," &
	" 251 (BC_2, IO_PAD647, output3, X, 250, 1, PULL1)," & --  PAD647
	" 252 (BC_2, IO_PAD647, input, X)," & --  PAD647
	" 253 (BC_2, *, controlr, 1)," &
	" 254 (BC_2, IO_PAD646, output3, X, 253, 1, PULL1)," & --  PAD646
	" 255 (BC_2, IO_PAD646, input, X)," & --  PAD646
	" 256 (BC_2, *, controlr, 1)," &
	" 257 (BC_2, IO_PAD645, output3, X, 256, 1, PULL1)," & --  PAD645
	" 258 (BC_2, IO_PAD645, input, X)," & --  PAD645
	" 259 (BC_2, *, controlr, 1)," &
	" 260 (BC_2, IO_PAD644, output3, X, 259, 1, PULL1)," & --  PAD644
	" 261 (BC_2, IO_PAD644, input, X)," & --  PAD644
	" 262 (BC_2, *, controlr, 1)," &
	" 263 (BC_2, IO_PAD643, output3, X, 262, 1, PULL1)," & --  PAD643
	" 264 (BC_2, IO_PAD643, input, X)," & --  PAD643
	" 265 (BC_2, *, controlr, 1)," &
	" 266 (BC_2, IO_PAD642, output3, X, 265, 1, PULL1)," & --  PAD642
	" 267 (BC_2, IO_PAD642, input, X)," & --  PAD642
	" 268 (BC_2, *, controlr, 1)," &
	" 269 (BC_2, IO_PAD641, output3, X, 268, 1, PULL1)," & --  PAD641
	" 270 (BC_2, IO_PAD641, input, X)," & --  PAD641
	" 271 (BC_2, *, controlr, 1)," &
	" 272 (BC_2, IO_PAD640, output3, X, 271, 1, PULL1)," & --  PAD640
	" 273 (BC_2, IO_PAD640, input, X)," & --  PAD640
	" 274 (BC_2, *, controlr, 1)," &
	" 275 (BC_2, IO_PAD639, output3, X, 274, 1, PULL1)," & --  PAD639
	" 276 (BC_2, IO_PAD639, input, X)," & --  PAD639
	" 277 (BC_2, *, controlr, 1)," &
	" 278 (BC_2, IO_PAD638, output3, X, 277, 1, PULL1)," & --  PAD638
	" 279 (BC_2, IO_PAD638, input, X)," & --  PAD638
	" 280 (BC_2, *, controlr, 1)," &
	" 281 (BC_2, IO_PAD637, output3, X, 280, 1, PULL1)," & --  PAD637
	" 282 (BC_2, IO_PAD637, input, X)," & --  PAD637
	" 283 (BC_2, *, controlr, 1)," &
	" 284 (BC_2, IO_PAD636, output3, X, 283, 1, PULL1)," & --  PAD636
	" 285 (BC_2, IO_PAD636, input, X)," & --  PAD636
	" 286 (BC_2, *, controlr, 1)," &
	" 287 (BC_2, IO_PAD635, output3, X, 286, 1, PULL1)," & --  PAD635
	" 288 (BC_2, IO_PAD635, input, X)," & --  PAD635
	" 289 (BC_2, *, controlr, 1)," &
	" 290 (BC_2, IO_PAD634, output3, X, 289, 1, PULL1)," & --  PAD634
	" 291 (BC_2, IO_PAD634, input, X)," & --  PAD634
	" 292 (BC_2, *, controlr, 1)," &
	" 293 (BC_2, IO_PAD633, output3, X, 292, 1, PULL1)," & --  PAD633
	" 294 (BC_2, IO_PAD633, input, X)," & --  PAD633
	" 295 (BC_2, *, controlr, 1)," &
	" 296 (BC_2, IO_PAD632, output3, X, 295, 1, PULL1)," & --  PAD632
	" 297 (BC_2, IO_PAD632, input, X)," & --  PAD632
	" 298 (BC_2, *, controlr, 1)," &
	" 299 (BC_2, IO_PAD631, output3, X, 298, 1, PULL1)," & --  PAD631
	" 300 (BC_2, IO_PAD631, input, X)," & --  PAD631
	" 301 (BC_2, *, controlr, 1)," &
	" 302 (BC_2, IO_PAD630, output3, X, 301, 1, PULL1)," & --  PAD630
	" 303 (BC_2, IO_PAD630, input, X)," & --  PAD630
	" 304 (BC_2, *, controlr, 1)," &
	" 305 (BC_2, IO_PAD629, output3, X, 304, 1, PULL1)," & --  PAD629
	" 306 (BC_2, IO_PAD629, input, X)," & --  PAD629
	" 307 (BC_2, *, controlr, 1)," &
	" 308 (BC_2, IO_PAD628, output3, X, 307, 1, PULL1)," & --  PAD628
	" 309 (BC_2, IO_PAD628, input, X)," & --  PAD628
	" 310 (BC_2, *, controlr, 1)," &
	" 311 (BC_2, IO_PAD627, output3, X, 310, 1, PULL1)," & --  PAD627
	" 312 (BC_2, IO_PAD627, input, X)," & --  PAD627
	" 313 (BC_2, *, controlr, 1)," &
	" 314 (BC_2, IO_PAD626, output3, X, 313, 1, PULL1)," & --  PAD626
	" 315 (BC_2, IO_PAD626, input, X)," & --  PAD626
	" 316 (BC_2, *, controlr, 1)," &
	" 317 (BC_2, IO_PAD625, output3, X, 316, 1, PULL1)," & --  PAD625
	" 318 (BC_2, IO_PAD625, input, X)," & --  PAD625
	" 319 (BC_2, *, controlr, 1)," &
	" 320 (BC_2, IO_PAD624, output3, X, 319, 1, PULL1)," & --  PAD624
	" 321 (BC_2, IO_PAD624, input, X)," & --  PAD624
	" 322 (BC_2, *, controlr, 1)," &
	" 323 (BC_2, IO_PAD623, output3, X, 322, 1, PULL1)," & --  PAD623
	" 324 (BC_2, IO_PAD623, input, X)," & --  PAD623
	" 325 (BC_2, *, controlr, 1)," &
	" 326 (BC_2, IO_PAD622, output3, X, 325, 1, PULL1)," & --  PAD622
	" 327 (BC_2, IO_PAD622, input, X)," & --  PAD622
	" 328 (BC_2, *, controlr, 1)," &
	" 329 (BC_2, IO_PAD621, output3, X, 328, 1, PULL1)," & --  PAD621
	" 330 (BC_2, IO_PAD621, input, X)," & --  PAD621
	" 331 (BC_2, *, controlr, 1)," &
	" 332 (BC_2, IO_PAD620, output3, X, 331, 1, PULL1)," & --  PAD620
	" 333 (BC_2, IO_PAD620, input, X)," & --  PAD620
	" 334 (BC_2, *, controlr, 1)," &
	" 335 (BC_2, IO_PAD619, output3, X, 334, 1, PULL1)," & --  PAD619
	" 336 (BC_2, IO_PAD619, input, X)," & --  PAD619
	" 337 (BC_2, *, controlr, 1)," &
	" 338 (BC_2, IO_PAD618, output3, X, 337, 1, PULL1)," & --  PAD618
	" 339 (BC_2, IO_PAD618, input, X)," & --  PAD618
	" 340 (BC_2, *, controlr, 1)," &
	" 341 (BC_2, IO_PAD617, output3, X, 340, 1, PULL1)," & --  PAD617
	" 342 (BC_2, IO_PAD617, input, X)," & --  PAD617
	" 343 (BC_2, *, controlr, 1)," &
	" 344 (BC_2, IO_PAD616, output3, X, 343, 1, PULL1)," & --  PAD616
	" 345 (BC_2, IO_PAD616, input, X)," & --  PAD616
	" 346 (BC_2, *, controlr, 1)," &
	" 347 (BC_2, IO_PAD615, output3, X, 346, 1, PULL1)," & --  PAD615
	" 348 (BC_2, IO_PAD615, input, X)," & --  PAD615
	" 349 (BC_2, *, controlr, 1)," &
	" 350 (BC_2, IO_PAD614, output3, X, 349, 1, PULL1)," & --  PAD614
	" 351 (BC_2, IO_PAD614, input, X)," & --  PAD614
	" 352 (BC_2, *, controlr, 1)," &
	" 353 (BC_2, IO_PAD613, output3, X, 352, 1, PULL1)," & --  PAD613
	" 354 (BC_2, IO_PAD613, input, X)," & --  PAD613
	" 355 (BC_2, *, controlr, 1)," &
	" 356 (BC_2, IO_PAD612, output3, X, 355, 1, PULL1)," & --  PAD612
	" 357 (BC_2, IO_PAD612, input, X)," & --  PAD612
	" 358 (BC_2, *, controlr, 1)," &
	" 359 (BC_2, IO_PAD611, output3, X, 358, 1, PULL1)," & --  PAD611
	" 360 (BC_2, IO_PAD611, input, X)," & --  PAD611
	" 361 (BC_2, *, controlr, 1)," &
	" 362 (BC_2, IO_PAD610, output3, X, 361, 1, PULL1)," & --  PAD610
	" 363 (BC_2, IO_PAD610, input, X)," & --  PAD610
	" 364 (BC_2, *, controlr, 1)," &
	" 365 (BC_2, IO_PAD609, output3, X, 364, 1, PULL1)," & --  PAD609
	" 366 (BC_2, IO_PAD609, input, X)," & --  PAD609
	" 367 (BC_2, *, controlr, 1)," &
	" 368 (BC_2, IO_PAD608, output3, X, 367, 1, PULL1)," & --  PAD608
	" 369 (BC_2, IO_PAD608, input, X)," & --  PAD608
	" 370 (BC_2, *, controlr, 1)," &
	" 371 (BC_2, IO_PAD607, output3, X, 370, 1, PULL1)," & --  PAD607
	" 372 (BC_2, IO_PAD607, input, X)," & --  PAD607
	" 373 (BC_2, *, controlr, 1)," &
	" 374 (BC_2, IO_PAD606, output3, X, 373, 1, PULL1)," & --  PAD606
	" 375 (BC_2, IO_PAD606, input, X)," & --  PAD606
	" 376 (BC_2, *, controlr, 1)," &
	" 377 (BC_2, IO_PAD605, output3, X, 376, 1, PULL1)," & --  PAD605
	" 378 (BC_2, IO_PAD605, input, X)," & --  PAD605
	" 379 (BC_2, *, controlr, 1)," &
	" 380 (BC_2, IO_PAD604, output3, X, 379, 1, PULL1)," & --  PAD604
	" 381 (BC_2, IO_PAD604, input, X)," & --  PAD604
	" 382 (BC_2, *, controlr, 1)," &
	" 383 (BC_2, IO_PAD603, output3, X, 382, 1, PULL1)," & --  PAD603
	" 384 (BC_2, IO_PAD603, input, X)," & --  PAD603
	" 385 (BC_2, *, controlr, 1)," &
	" 386 (BC_2, IO_PAD602, output3, X, 385, 1, PULL1)," & --  PAD602
	" 387 (BC_2, IO_PAD602, input, X)," & --  PAD602
	" 388 (BC_2, *, controlr, 1)," &
	" 389 (BC_2, IO_PAD601, output3, X, 388, 1, PULL1)," & --  PAD601
	" 390 (BC_2, IO_PAD601, input, X)," & --  PAD601
	" 391 (BC_2, *, controlr, 1)," &
	" 392 (BC_2, IO_PAD600, output3, X, 391, 1, PULL1)," & --  PAD600
	" 393 (BC_2, IO_PAD600, input, X)," & --  PAD600
	" 394 (BC_2, *, controlr, 1)," &
	" 395 (BC_2, IO_PAD599, output3, X, 394, 1, PULL1)," & --  PAD599
	" 396 (BC_2, IO_PAD599, input, X)," & --  PAD599
	" 397 (BC_2, *, controlr, 1)," &
	" 398 (BC_2, IO_PAD598, output3, X, 397, 1, PULL1)," & --  PAD598
	" 399 (BC_2, IO_PAD598, input, X)," & --  PAD598
	" 400 (BC_2, *, controlr, 1)," &
	" 401 (BC_2, IO_PAD597, output3, X, 400, 1, PULL1)," & --  PAD597
	" 402 (BC_2, IO_PAD597, input, X)," & --  PAD597
	" 403 (BC_2, *, controlr, 1)," &
	" 404 (BC_2, IO_PAD596, output3, X, 403, 1, PULL1)," & --  PAD596
	" 405 (BC_2, IO_PAD596, input, X)," & --  PAD596
	" 406 (BC_2, *, controlr, 1)," &
	" 407 (BC_2, IO_PAD595, output3, X, 406, 1, PULL1)," & --  PAD595
	" 408 (BC_2, IO_PAD595, input, X)," & --  PAD595
	" 409 (BC_2, *, controlr, 1)," &
	" 410 (BC_2, IO_PAD594, output3, X, 409, 1, PULL1)," & --  PAD594
	" 411 (BC_2, IO_PAD594, input, X)," & --  PAD594
	" 412 (BC_2, *, controlr, 1)," &
	" 413 (BC_2, IO_PAD593, output3, X, 412, 1, PULL1)," & --  PAD593
	" 414 (BC_2, IO_PAD593, input, X)," & --  PAD593
	" 415 (BC_2, *, controlr, 1)," &
	" 416 (BC_2, IO_PAD592, output3, X, 415, 1, PULL1)," & --  PAD592
	" 417 (BC_2, IO_PAD592, input, X)," & --  PAD592
	" 418 (BC_2, *, controlr, 1)," &
	" 419 (BC_2, IO_PAD591, output3, X, 418, 1, PULL1)," & --  PAD591
	" 420 (BC_2, IO_PAD591, input, X)," & --  PAD591
	" 421 (BC_2, *, controlr, 1)," &
	" 422 (BC_2, IO_PAD590, output3, X, 421, 1, PULL1)," & --  PAD590
	" 423 (BC_2, IO_PAD590, input, X)," & --  PAD590
	" 424 (BC_2, *, controlr, 1)," &
	" 425 (BC_2, IO_PAD589, output3, X, 424, 1, PULL1)," & --  PAD589
	" 426 (BC_2, IO_PAD589, input, X)," & --  PAD589
	" 427 (BC_2, *, controlr, 1)," &
	" 428 (BC_2, IO_PAD588, output3, X, 427, 1, PULL1)," & --  PAD588
	" 429 (BC_2, IO_PAD588, input, X)," & --  PAD588
	" 430 (BC_2, *, controlr, 1)," &
	" 431 (BC_2, IO_PAD587, output3, X, 430, 1, PULL1)," & --  PAD587
	" 432 (BC_2, IO_PAD587, input, X)," & --  PAD587
	" 433 (BC_2, *, controlr, 1)," &
	" 434 (BC_2, IO_PAD586, output3, X, 433, 1, PULL1)," & --  PAD586
	" 435 (BC_2, IO_PAD586, input, X)," & --  PAD586
	" 436 (BC_2, *, controlr, 1)," &
	" 437 (BC_2, IO_PAD585, output3, X, 436, 1, PULL1)," & --  PAD585
	" 438 (BC_2, IO_PAD585, input, X)," & --  PAD585
	" 439 (BC_2, *, controlr, 1)," &
	" 440 (BC_2, IO_PAD584, output3, X, 439, 1, PULL1)," & --  PAD584
	" 441 (BC_2, IO_PAD584, input, X)," & --  PAD584
	" 442 (BC_2, *, controlr, 1)," &
	" 443 (BC_2, IO_PAD583, output3, X, 442, 1, PULL1)," & --  PAD583
	" 444 (BC_2, IO_PAD583, input, X)," & --  PAD583
	" 445 (BC_2, *, controlr, 1)," &
	" 446 (BC_2, IO_PAD582, output3, X, 445, 1, PULL1)," & --  PAD582
	" 447 (BC_2, IO_PAD582, input, X)," & --  PAD582
	" 448 (BC_2, *, controlr, 1)," &
	" 449 (BC_2, IO_PAD581, output3, X, 448, 1, PULL1)," & --  PAD581
	" 450 (BC_2, IO_PAD581, input, X)," & --  PAD581
	" 451 (BC_2, *, controlr, 1)," &
	" 452 (BC_2, IO_PAD580, output3, X, 451, 1, PULL1)," & --  PAD580
	" 453 (BC_2, IO_PAD580, input, X)," & --  PAD580
	" 454 (BC_2, *, controlr, 1)," &
	" 455 (BC_2, IO_PAD579, output3, X, 454, 1, PULL1)," & --  PAD579
	" 456 (BC_2, IO_PAD579, input, X)," & --  PAD579
	" 457 (BC_2, *, controlr, 1)," &
	" 458 (BC_2, IO_PAD578, output3, X, 457, 1, PULL1)," & --  PAD578
	" 459 (BC_2, IO_PAD578, input, X)," & --  PAD578
	" 460 (BC_2, *, controlr, 1)," &
	" 461 (BC_2, IO_PAD577, output3, X, 460, 1, PULL1)," & --  PAD577
	" 462 (BC_2, IO_PAD577, input, X)," & --  PAD577
	" 463 (BC_2, *, controlr, 1)," &
	" 464 (BC_2, IO_PAD576, output3, X, 463, 1, PULL1)," & --  PAD576
	" 465 (BC_2, IO_PAD576, input, X)," & --  PAD576
	" 466 (BC_2, *, controlr, 1)," &
	" 467 (BC_2, IO_PAD575, output3, X, 466, 1, PULL1)," & --  PAD575
	" 468 (BC_2, IO_PAD575, input, X)," & --  PAD575
	" 469 (BC_2, *, controlr, 1)," &
	" 470 (BC_2, IO_PAD574, output3, X, 469, 1, PULL1)," & --  PAD574
	" 471 (BC_2, IO_PAD574, input, X)," & --  PAD574
	" 472 (BC_2, *, controlr, 1)," &
	" 473 (BC_2, IO_PAD573, output3, X, 472, 1, PULL1)," & --  PAD573
	" 474 (BC_2, IO_PAD573, input, X)," & --  PAD573
	" 475 (BC_2, *, controlr, 1)," &
	" 476 (BC_2, IO_PAD572, output3, X, 475, 1, PULL1)," & --  PAD572
	" 477 (BC_2, IO_PAD572, input, X)," & --  PAD572
	" 478 (BC_2, *, controlr, 1)," &
	" 479 (BC_2, IO_PAD571, output3, X, 478, 1, PULL1)," & --  PAD571
	" 480 (BC_2, IO_PAD571, input, X)," & --  PAD571
	" 481 (BC_2, *, controlr, 1)," &
	" 482 (BC_2, IO_PAD570, output3, X, 481, 1, PULL1)," & --  PAD570
	" 483 (BC_2, IO_PAD570, input, X)," & --  PAD570
	" 484 (BC_2, *, controlr, 1)," &
	" 485 (BC_2, IO_PAD569, output3, X, 484, 1, PULL1)," & --  PAD569
	" 486 (BC_2, IO_PAD569, input, X)," & --  PAD569
	" 487 (BC_2, *, controlr, 1)," &
	" 488 (BC_2, IO_PAD568, output3, X, 487, 1, PULL1)," & --  PAD568
	" 489 (BC_2, IO_PAD568, input, X)," & --  PAD568
	" 490 (BC_2, *, controlr, 1)," &
	" 491 (BC_2, IO_PAD567, output3, X, 490, 1, PULL1)," & --  PAD567
	" 492 (BC_2, IO_PAD567, input, X)," & --  PAD567
	" 493 (BC_2, *, controlr, 1)," &
	" 494 (BC_2, IO_PAD566, output3, X, 493, 1, PULL1)," & --  PAD566
	" 495 (BC_2, IO_PAD566, input, X)," & --  PAD566
	" 496 (BC_2, *, controlr, 1)," &
	" 497 (BC_2, IO_PAD565, output3, X, 496, 1, PULL1)," & --  PAD565
	" 498 (BC_2, IO_PAD565, input, X)," & --  PAD565
	" 499 (BC_2, *, controlr, 1)," &
	" 500 (BC_2, IO_PAD564, output3, X, 499, 1, PULL1)," & --  PAD564
	" 501 (BC_2, IO_PAD564, input, X)," & --  PAD564
	" 502 (BC_2, *, controlr, 1)," &
	" 503 (BC_2, IO_PAD563, output3, X, 502, 1, PULL1)," & --  PAD563
	" 504 (BC_2, IO_PAD563, input, X)," & --  PAD563
	" 505 (BC_2, *, controlr, 1)," &
	" 506 (BC_2, IO_PAD562, output3, X, 505, 1, PULL1)," & --  PAD562
	" 507 (BC_2, IO_PAD562, input, X)," & --  PAD562
	" 508 (BC_2, *, controlr, 1)," &
	" 509 (BC_2, IO_PAD561, output3, X, 508, 1, PULL1)," & --  PAD561
	" 510 (BC_2, IO_PAD561, input, X)," & --  PAD561
	" 511 (BC_2, *, controlr, 1)," &
	" 512 (BC_2, IO_PAD560, output3, X, 511, 1, PULL1)," & --  PAD560
	" 513 (BC_2, IO_PAD560, input, X)," & --  PAD560
	" 514 (BC_2, *, controlr, 1)," &
	" 515 (BC_2, IO_PAD559, output3, X, 514, 1, PULL1)," & --  PAD559
	" 516 (BC_2, IO_PAD559, input, X)," & --  PAD559
	" 517 (BC_2, *, controlr, 1)," &
	" 518 (BC_2, IO_PAD558, output3, X, 517, 1, PULL1)," & --  PAD558
	" 519 (BC_2, IO_PAD558, input, X)," & --  PAD558
	" 520 (BC_2, *, controlr, 1)," &
	" 521 (BC_2, IO_PAD557, output3, X, 520, 1, PULL1)," & --  PAD557
	" 522 (BC_2, IO_PAD557, input, X)," & --  PAD557
	" 523 (BC_2, *, controlr, 1)," &
	" 524 (BC_2, IO_PAD556, output3, X, 523, 1, PULL1)," & --  PAD556
	" 525 (BC_2, IO_PAD556, input, X)," & --  PAD556
	" 526 (BC_2, *, controlr, 1)," &
	" 527 (BC_2, IO_PAD555, output3, X, 526, 1, PULL1)," & --  PAD555
	" 528 (BC_2, IO_PAD555, input, X)," & --  PAD555
	" 529 (BC_2, *, controlr, 1)," &
	" 530 (BC_2, IO_PAD554, output3, X, 529, 1, PULL1)," & --  PAD554
	" 531 (BC_2, IO_PAD554, input, X)," & --  PAD554
	" 532 (BC_2, *, controlr, 1)," &
	" 533 (BC_2, IO_PAD553, output3, X, 532, 1, PULL1)," & --  PAD553
	" 534 (BC_2, IO_PAD553, input, X)," & --  PAD553
	" 535 (BC_2, *, controlr, 1)," &
	" 536 (BC_2, IO_PAD552, output3, X, 535, 1, PULL1)," & --  PAD552
	" 537 (BC_2, IO_PAD552, input, X)," & --  PAD552
	" 538 (BC_2, *, controlr, 1)," &
	" 539 (BC_2, IO_PAD551, output3, X, 538, 1, PULL1)," & --  PAD551
	" 540 (BC_2, IO_PAD551, input, X)," & --  PAD551
	" 541 (BC_2, *, controlr, 1)," &
	" 542 (BC_2, IO_PAD550, output3, X, 541, 1, PULL1)," & --  PAD550
	" 543 (BC_2, IO_PAD550, input, X)," & --  PAD550
	" 544 (BC_2, *, controlr, 1)," &
	" 545 (BC_2, IO_PAD549, output3, X, 544, 1, PULL1)," & --  PAD549
	" 546 (BC_2, IO_PAD549, input, X)," & --  PAD549
	" 547 (BC_2, *, controlr, 1)," &
	" 548 (BC_2, IO_PAD548, output3, X, 547, 1, PULL1)," & --  PAD548
	" 549 (BC_2, IO_PAD548, input, X)," & --  PAD548
	" 550 (BC_2, *, controlr, 1)," &
	" 551 (BC_2, IO_PAD547, output3, X, 550, 1, PULL1)," & --  PAD547
	" 552 (BC_2, IO_PAD547, input, X)," & --  PAD547
	" 553 (BC_2, *, controlr, 1)," &
	" 554 (BC_2, IO_PAD546, output3, X, 553, 1, PULL1)," & --  PAD546
	" 555 (BC_2, IO_PAD546, input, X)," & --  PAD546
	" 556 (BC_2, *, controlr, 1)," &
	" 557 (BC_2, IO_PAD545, output3, X, 556, 1, PULL1)," & --  PAD545
	" 558 (BC_2, IO_PAD545, input, X)," & --  PAD545
	" 559 (BC_2, *, controlr, 1)," &
	" 560 (BC_2, IO_PAD544, output3, X, 559, 1, PULL1)," & --  PAD544
	" 561 (BC_2, IO_PAD544, input, X)," & --  PAD544
	" 562 (BC_2, *, controlr, 1)," &
	" 563 (BC_2, IO_PAD543, output3, X, 562, 1, PULL1)," & --  PAD543
	" 564 (BC_2, IO_PAD543, input, X)," & --  PAD543
	" 565 (BC_2, *, controlr, 1)," &
	" 566 (BC_2, IO_PAD542, output3, X, 565, 1, PULL1)," & --  PAD542
	" 567 (BC_2, IO_PAD542, input, X)," & --  PAD542
	" 568 (BC_2, *, controlr, 1)," &
	" 569 (BC_2, IO_PAD541, output3, X, 568, 1, PULL1)," & --  PAD541
	" 570 (BC_2, IO_PAD541, input, X)," & --  PAD541
	" 571 (BC_2, *, controlr, 1)," &
	" 572 (BC_2, IO_PAD540, output3, X, 571, 1, PULL1)," & --  PAD540
	" 573 (BC_2, IO_PAD540, input, X)," & --  PAD540
	" 574 (BC_2, *, controlr, 1)," &
	" 575 (BC_2, IO_PAD539, output3, X, 574, 1, PULL1)," & --  PAD539
	" 576 (BC_2, IO_PAD539, input, X)," & --  PAD539
	" 577 (BC_2, *, controlr, 1)," &
	" 578 (BC_2, IO_PAD538, output3, X, 577, 1, PULL1)," & --  PAD538
	" 579 (BC_2, IO_PAD538, input, X)," & --  PAD538
	" 580 (BC_2, *, controlr, 1)," &
	" 581 (BC_2, IO_PAD537, output3, X, 580, 1, PULL1)," & --  PAD537
	" 582 (BC_2, IO_PAD537, input, X)," & --  PAD537
	" 583 (BC_2, *, controlr, 1)," &
	" 584 (BC_2, IO_PAD536, output3, X, 583, 1, PULL1)," & --  PAD536
	" 585 (BC_2, IO_PAD536, input, X)," & --  PAD536
	" 586 (BC_2, *, controlr, 1)," &
	" 587 (BC_2, IO_PAD535, output3, X, 586, 1, PULL1)," & --  PAD535
	" 588 (BC_2, IO_PAD535, input, X)," & --  PAD535
	" 589 (BC_2, *, controlr, 1)," &
	" 590 (BC_2, IO_PAD534, output3, X, 589, 1, PULL1)," & --  PAD534
	" 591 (BC_2, IO_PAD534, input, X)," & --  PAD534
	" 592 (BC_2, *, controlr, 1)," &
	" 593 (BC_2, IO_PAD533, output3, X, 592, 1, PULL1)," & --  PAD533
	" 594 (BC_2, IO_PAD533, input, X)," & --  PAD533
	" 595 (BC_2, *, controlr, 1)," &
	" 596 (BC_2, IO_PAD532, output3, X, 595, 1, PULL1)," & --  PAD532
	" 597 (BC_2, IO_PAD532, input, X)," & --  PAD532
	" 598 (BC_2, *, controlr, 1)," &
	" 599 (BC_2, IO_PAD531, output3, X, 598, 1, PULL1)," & --  PAD531
	" 600 (BC_2, IO_PAD531, input, X)," & --  PAD531
	" 601 (BC_2, *, controlr, 1)," &
	" 602 (BC_2, IO_PAD530, output3, X, 601, 1, PULL1)," & --  PAD530
	" 603 (BC_2, IO_PAD530, input, X)," & --  PAD530
	" 604 (BC_2, *, controlr, 1)," &
	" 605 (BC_2, IO_PAD529, output3, X, 604, 1, PULL1)," & --  PAD529
	" 606 (BC_2, IO_PAD529, input, X)," & --  PAD529
	" 607 (BC_2, *, controlr, 1)," &
	" 608 (BC_2, IO_PAD528, output3, X, 607, 1, PULL1)," & --  PAD528
	" 609 (BC_2, IO_PAD528, input, X)," & --  PAD528
	" 610 (BC_2, *, controlr, 1)," &
	" 611 (BC_2, IO_PAD527, output3, X, 610, 1, PULL1)," & --  PAD527
	" 612 (BC_2, IO_PAD527, input, X)," & --  PAD527
	" 613 (BC_2, *, controlr, 1)," &
	" 614 (BC_2, IO_PAD526, output3, X, 613, 1, PULL1)," & --  PAD526
	" 615 (BC_2, IO_PAD526, input, X)," & --  PAD526
	" 616 (BC_2, *, controlr, 1)," &
	" 617 (BC_2, IO_PAD525, output3, X, 616, 1, PULL1)," & --  PAD525
	" 618 (BC_2, IO_PAD525, input, X)," & --  PAD525
	" 619 (BC_2, *, controlr, 1)," &
	" 620 (BC_2, IO_PAD524, output3, X, 619, 1, PULL1)," & --  PAD524
	" 621 (BC_2, IO_PAD524, input, X)," & --  PAD524
	" 622 (BC_2, *, controlr, 1)," &
	" 623 (BC_2, IO_PAD523, output3, X, 622, 1, PULL1)," & --  PAD523
	" 624 (BC_2, IO_PAD523, input, X)," & --  PAD523
	" 625 (BC_2, *, controlr, 1)," &
	" 626 (BC_2, IO_PAD522, output3, X, 625, 1, PULL1)," & --  PAD522
	" 627 (BC_2, IO_PAD522, input, X)," & --  PAD522
	" 628 (BC_2, *, controlr, 1)," &
	" 629 (BC_2, IO_PAD521, output3, X, 628, 1, PULL1)," & --  PAD521
	" 630 (BC_2, IO_PAD521, input, X)," & --  PAD521
	" 631 (BC_2, *, controlr, 1)," &
	" 632 (BC_2, IO_PAD520, output3, X, 631, 1, PULL1)," & --  PAD520
	" 633 (BC_2, IO_PAD520, input, X)," & --  PAD520
	" 634 (BC_2, *, controlr, 1)," &
	" 635 (BC_2, IO_PAD519, output3, X, 634, 1, PULL1)," & --  PAD519
	" 636 (BC_2, IO_PAD519, input, X)," & --  PAD519
	" 637 (BC_2, *, controlr, 1)," &
	" 638 (BC_2, IO_PAD518, output3, X, 637, 1, PULL1)," & --  PAD518
	" 639 (BC_2, IO_PAD518, input, X)," & --  PAD518
	" 640 (BC_2, *, controlr, 1)," &
	" 641 (BC_2, IO_PAD517, output3, X, 640, 1, PULL1)," & --  PAD517
	" 642 (BC_2, IO_PAD517, input, X)," & --  PAD517
	" 643 (BC_2, *, controlr, 1)," &
	" 644 (BC_2, IO_PAD516, output3, X, 643, 1, PULL1)," & --  PAD516
	" 645 (BC_2, IO_PAD516, input, X)," & --  PAD516
	" 646 (BC_2, *, controlr, 1)," &
	" 647 (BC_2, IO_PAD515, output3, X, 646, 1, PULL1)," & --  PAD515
	" 648 (BC_2, IO_PAD515, input, X)," & --  PAD515
	" 649 (BC_2, *, controlr, 1)," &
	" 650 (BC_2, IO_PAD514, output3, X, 649, 1, PULL1)," & --  PAD514
	" 651 (BC_2, IO_PAD514, input, X)," & --  PAD514
	" 652 (BC_2, *, controlr, 1)," &
	" 653 (BC_2, IO_PAD513, output3, X, 652, 1, PULL1)," & --  PAD513
	" 654 (BC_2, IO_PAD513, input, X)," & --  PAD513
	" 655 (BC_2, *, controlr, 1)," &
	" 656 (BC_2, IO_PAD512, output3, X, 655, 1, PULL1)," & --  PAD512
	" 657 (BC_2, IO_PAD512, input, X)," & --  PAD512
	" 658 (BC_2, *, controlr, 1)," &
	" 659 (BC_2, IO_PAD511, output3, X, 658, 1, PULL1)," & --  PAD511
	" 660 (BC_2, IO_PAD511, input, X)," & --  PAD511
	" 661 (BC_2, *, controlr, 1)," &
	" 662 (BC_2, IO_PAD510, output3, X, 661, 1, PULL1)," & --  PAD510
	" 663 (BC_2, IO_PAD510, input, X)," & --  PAD510
	" 664 (BC_2, *, controlr, 1)," &
	" 665 (BC_2, IO_PAD509, output3, X, 664, 1, PULL1)," & --  PAD509
	" 666 (BC_2, IO_PAD509, input, X)," & --  PAD509
	" 667 (BC_2, *, controlr, 1)," &
	" 668 (BC_2, IO_PAD508, output3, X, 667, 1, PULL1)," & --  PAD508
	" 669 (BC_2, IO_PAD508, input, X)," & --  PAD508
	" 670 (BC_2, *, controlr, 1)," &
	" 671 (BC_2, IO_PAD507, output3, X, 670, 1, PULL1)," & --  PAD507
	" 672 (BC_2, IO_PAD507, input, X)," & --  PAD507
	" 673 (BC_2, *, controlr, 1)," &
	" 674 (BC_2, IO_PAD506, output3, X, 673, 1, PULL1)," & --  PAD506
	" 675 (BC_2, IO_PAD506, input, X)," & --  PAD506
	" 676 (BC_2, *, controlr, 1)," &
	" 677 (BC_2, IO_PAD505, output3, X, 676, 1, PULL1)," & --  PAD505
	" 678 (BC_2, IO_PAD505, input, X)," & --  PAD505
	" 679 (BC_2, *, controlr, 1)," &
	" 680 (BC_2, IO_PAD504, output3, X, 679, 1, PULL1)," & --  PAD504
	" 681 (BC_2, IO_PAD504, input, X)," & --  PAD504
	" 682 (BC_2, *, controlr, 1)," &
	" 683 (BC_2, IO_PAD503, output3, X, 682, 1, PULL1)," & --  PAD503
	" 684 (BC_2, IO_PAD503, input, X)," & --  PAD503
	" 685 (BC_2, *, controlr, 1)," &
	" 686 (BC_2, IO_PAD502, output3, X, 685, 1, PULL1)," & --  PAD502
	" 687 (BC_2, IO_PAD502, input, X)," & --  PAD502
	" 688 (BC_2, *, controlr, 1)," &
	" 689 (BC_2, IO_PAD501, output3, X, 688, 1, PULL1)," & --  PAD501
	" 690 (BC_2, IO_PAD501, input, X)," & --  PAD501
	" 691 (BC_2, *, controlr, 1)," &
	" 692 (BC_2, IO_PAD500, output3, X, 691, 1, PULL1)," & --  PAD500
	" 693 (BC_2, IO_PAD500, input, X)," & --  PAD500
	" 694 (BC_2, *, controlr, 1)," &
	" 695 (BC_2, IO_PAD499, output3, X, 694, 1, PULL1)," & --  PAD499
	" 696 (BC_2, IO_PAD499, input, X)," & --  PAD499
	" 697 (BC_2, *, controlr, 1)," &
	" 698 (BC_2, IO_PAD498, output3, X, 697, 1, PULL1)," & --  PAD498
	" 699 (BC_2, IO_PAD498, input, X)," & --  PAD498
	" 700 (BC_2, *, controlr, 1)," &
	" 701 (BC_2, IO_PAD497, output3, X, 700, 1, PULL1)," & --  PAD497
	" 702 (BC_2, IO_PAD497, input, X)," & --  PAD497
	" 703 (BC_2, *, controlr, 1)," &
	" 704 (BC_2, IO_PAD496, output3, X, 703, 1, PULL1)," & --  PAD496
	" 705 (BC_2, IO_PAD496, input, X)," & --  PAD496
	" 706 (BC_2, *, controlr, 1)," &
	" 707 (BC_2, IO_PAD495, output3, X, 706, 1, PULL1)," & --  PAD495
	" 708 (BC_2, IO_PAD495, input, X)," & --  PAD495
	" 709 (BC_2, *, controlr, 1)," &
	" 710 (BC_2, IO_PAD494, output3, X, 709, 1, PULL1)," & --  PAD494
	" 711 (BC_2, IO_PAD494, input, X)," & --  PAD494
	" 712 (BC_2, *, controlr, 1)," &
	" 713 (BC_2, IO_PAD493, output3, X, 712, 1, PULL1)," & --  PAD493
	" 714 (BC_2, IO_PAD493, input, X)," & --  PAD493
	" 715 (BC_2, *, controlr, 1)," &
	" 716 (BC_2, IO_PAD492, output3, X, 715, 1, PULL1)," & --  PAD492
	" 717 (BC_2, IO_PAD492, input, X)," & --  PAD492
	" 718 (BC_2, *, controlr, 1)," &
	" 719 (BC_2, IO_PAD491, output3, X, 718, 1, PULL1)," & --  PAD491
	" 720 (BC_2, IO_PAD491, input, X)," & --  PAD491
	" 721 (BC_2, *, controlr, 1)," &
	" 722 (BC_2, IO_PAD490, output3, X, 721, 1, PULL1)," & --  PAD490
	" 723 (BC_2, IO_PAD490, input, X)," & --  PAD490
	" 724 (BC_2, *, controlr, 1)," &
	" 725 (BC_2, IO_PAD489, output3, X, 724, 1, PULL1)," & --  PAD489
	" 726 (BC_2, IO_PAD489, input, X)," & --  PAD489
	" 727 (BC_2, *, controlr, 1)," &
	" 728 (BC_2, IO_PAD488, output3, X, 727, 1, PULL1)," & --  PAD488
	" 729 (BC_2, IO_PAD488, input, X)," & --  PAD488
	" 730 (BC_2, *, controlr, 1)," &
	" 731 (BC_2, IO_PAD487, output3, X, 730, 1, PULL1)," & --  PAD487
	" 732 (BC_2, IO_PAD487, input, X)," & --  PAD487
	" 733 (BC_2, *, controlr, 1)," &
	" 734 (BC_2, IO_PAD486, output3, X, 733, 1, PULL1)," & --  PAD486
	" 735 (BC_2, IO_PAD486, input, X)," & --  PAD486
	" 736 (BC_2, *, controlr, 1)," &
	" 737 (BC_2, IO_PAD485, output3, X, 736, 1, PULL1)," & --  PAD485
	" 738 (BC_2, IO_PAD485, input, X)," & --  PAD485
	" 739 (BC_2, *, controlr, 1)," &
	" 740 (BC_2, IO_PAD484, output3, X, 739, 1, PULL1)," & --  PAD484
	" 741 (BC_2, IO_PAD484, input, X)," & --  PAD484
	" 742 (BC_2, *, controlr, 1)," &
	" 743 (BC_2, IO_PAD483, output3, X, 742, 1, PULL1)," & --  PAD483
	" 744 (BC_2, IO_PAD483, input, X)," & --  PAD483
	" 745 (BC_2, *, controlr, 1)," &
	" 746 (BC_2, IO_PAD482, output3, X, 745, 1, PULL1)," & --  PAD482
	" 747 (BC_2, IO_PAD482, input, X)," & --  PAD482
	" 748 (BC_2, *, controlr, 1)," &
	" 749 (BC_2, IO_PAD481, output3, X, 748, 1, PULL1)," & --  PAD481
	" 750 (BC_2, IO_PAD481, input, X)," & --  PAD481
	" 751 (BC_2, *, controlr, 1)," &
	" 752 (BC_2, IO_PAD480, output3, X, 751, 1, PULL1)," & --  PAD480
	" 753 (BC_2, IO_PAD480, input, X)," & --  PAD480
	" 754 (BC_2, *, controlr, 1)," &
	" 755 (BC_2, IO_PAD479, output3, X, 754, 1, PULL1)," & --  PAD479
	" 756 (BC_2, IO_PAD479, input, X)," & --  PAD479
	" 757 (BC_2, *, controlr, 1)," &
	" 758 (BC_2, IO_PAD478, output3, X, 757, 1, PULL1)," & --  PAD478
	" 759 (BC_2, IO_PAD478, input, X)," & --  PAD478
	" 760 (BC_2, *, controlr, 1)," &
	" 761 (BC_2, IO_PAD477, output3, X, 760, 1, PULL1)," & --  PAD477
	" 762 (BC_2, IO_PAD477, input, X)," & --  PAD477
	" 763 (BC_2, *, controlr, 1)," &
	" 764 (BC_2, IO_PAD476, output3, X, 763, 1, PULL1)," & --  PAD476
	" 765 (BC_2, IO_PAD476, input, X)," & --  PAD476
	" 766 (BC_2, *, controlr, 1)," &
	" 767 (BC_2, IO_PAD475, output3, X, 766, 1, PULL1)," & --  PAD475
	" 768 (BC_2, IO_PAD475, input, X)," & --  PAD475
	" 769 (BC_2, *, controlr, 1)," &
	" 770 (BC_2, IO_PAD474, output3, X, 769, 1, PULL1)," & --  PAD474
	" 771 (BC_2, IO_PAD474, input, X)," & --  PAD474
	" 772 (BC_2, *, controlr, 1)," &
	" 773 (BC_2, IO_PAD473, output3, X, 772, 1, PULL1)," & --  PAD473
	" 774 (BC_2, IO_PAD473, input, X)," & --  PAD473
	" 775 (BC_2, *, controlr, 1)," &
	" 776 (BC_2, IO_PAD472, output3, X, 775, 1, PULL1)," & --  PAD472
	" 777 (BC_2, IO_PAD472, input, X)," & --  PAD472
	" 778 (BC_2, *, controlr, 1)," &
	" 779 (BC_2, IO_PAD471, output3, X, 778, 1, PULL1)," & --  PAD471
	" 780 (BC_2, IO_PAD471, input, X)," & --  PAD471
	" 781 (BC_2, *, controlr, 1)," &
	" 782 (BC_2, IO_PAD470, output3, X, 781, 1, PULL1)," & --  PAD470
	" 783 (BC_2, IO_PAD470, input, X)," & --  PAD470
	" 784 (BC_2, *, controlr, 1)," &
	" 785 (BC_2, IO_PAD469, output3, X, 784, 1, PULL1)," & --  PAD469
	" 786 (BC_2, IO_PAD469, input, X)," & --  PAD469
	" 787 (BC_2, *, controlr, 1)," &
	" 788 (BC_2, IO_PAD468, output3, X, 787, 1, PULL1)," & --  PAD468
	" 789 (BC_2, IO_PAD468, input, X)," & --  PAD468
	" 790 (BC_2, *, controlr, 1)," &
	" 791 (BC_2, IO_PAD467, output3, X, 790, 1, PULL1)," & --  PAD467
	" 792 (BC_2, IO_PAD467, input, X)," & --  PAD467
	" 793 (BC_2, *, controlr, 1)," &
	" 794 (BC_2, IO_PAD466, output3, X, 793, 1, PULL1)," & --  PAD466
	" 795 (BC_2, IO_PAD466, input, X)," & --  PAD466
	" 796 (BC_2, *, controlr, 1)," &
	" 797 (BC_2, IO_PAD465, output3, X, 796, 1, PULL1)," & --  PAD465
	" 798 (BC_2, IO_PAD465, input, X)," & --  PAD465
	" 799 (BC_2, *, controlr, 1)," &
	" 800 (BC_2, IO_PAD464, output3, X, 799, 1, PULL1)," & --  PAD464
	" 801 (BC_2, IO_PAD464, input, X)," & --  PAD464
	" 802 (BC_2, *, controlr, 1)," &
	" 803 (BC_2, IO_PAD463, output3, X, 802, 1, PULL1)," & --  PAD463
	" 804 (BC_2, IO_PAD463, input, X)," & --  PAD463
	" 805 (BC_2, *, controlr, 1)," &
	" 806 (BC_2, IO_PAD462, output3, X, 805, 1, PULL1)," & --  PAD462
	" 807 (BC_2, IO_PAD462, input, X)," & --  PAD462
	" 808 (BC_2, *, controlr, 1)," &
	" 809 (BC_2, IO_PAD461, output3, X, 808, 1, PULL1)," & --  PAD461
	" 810 (BC_2, IO_PAD461, input, X)," & --  PAD461
	" 811 (BC_2, *, controlr, 1)," &
	" 812 (BC_2, IO_PAD460, output3, X, 811, 1, PULL1)," & --  PAD460
	" 813 (BC_2, IO_PAD460, input, X)," & --  PAD460
	" 814 (BC_2, *, controlr, 1)," &
	" 815 (BC_2, IO_PAD459, output3, X, 814, 1, PULL1)," & --  PAD459
	" 816 (BC_2, IO_PAD459, input, X)," & --  PAD459
	" 817 (BC_2, *, controlr, 1)," &
	" 818 (BC_2, IO_PAD458, output3, X, 817, 1, PULL1)," & --  PAD458
	" 819 (BC_2, IO_PAD458, input, X)," & --  PAD458
	" 820 (BC_2, *, controlr, 1)," &
	" 821 (BC_2, IO_PAD457, output3, X, 820, 1, PULL1)," & --  PAD457
	" 822 (BC_2, IO_PAD457, input, X)," & --  PAD457
	" 823 (BC_2, *, controlr, 1)," &
	" 824 (BC_2, IO_PAD456, output3, X, 823, 1, PULL1)," & --  PAD456
	" 825 (BC_2, IO_PAD456, input, X)," & --  PAD456
	" 826 (BC_2, *, controlr, 1)," &
	" 827 (BC_2, IO_PAD455, output3, X, 826, 1, PULL1)," & --  PAD455
	" 828 (BC_2, IO_PAD455, input, X)," & --  PAD455
	" 829 (BC_2, *, controlr, 1)," &
	" 830 (BC_2, IO_PAD454, output3, X, 829, 1, PULL1)," & --  PAD454
	" 831 (BC_2, IO_PAD454, input, X)," & --  PAD454
	" 832 (BC_2, *, controlr, 1)," &
	" 833 (BC_2, IO_PAD453, output3, X, 832, 1, PULL1)," & --  PAD453
	" 834 (BC_2, IO_PAD453, input, X)," & --  PAD453
	" 835 (BC_2, *, controlr, 1)," &
	" 836 (BC_2, IO_PAD452, output3, X, 835, 1, PULL1)," & --  PAD452
	" 837 (BC_2, IO_PAD452, input, X)," & --  PAD452
	" 838 (BC_2, *, controlr, 1)," &
	" 839 (BC_2, IO_PAD451, output3, X, 838, 1, PULL1)," & --  PAD451
	" 840 (BC_2, IO_PAD451, input, X)," & --  PAD451
	" 841 (BC_2, *, controlr, 1)," &
	" 842 (BC_2, IO_PAD450, output3, X, 841, 1, PULL1)," & --  PAD450
	" 843 (BC_2, IO_PAD450, input, X)," & --  PAD450
	" 844 (BC_2, *, controlr, 1)," &
	" 845 (BC_2, IO_PAD449, output3, X, 844, 1, PULL1)," & --  PAD449
	" 846 (BC_2, IO_PAD449, input, X)," & --  PAD449
	" 847 (BC_2, *, controlr, 1)," &
	" 848 (BC_2, IO_PAD448, output3, X, 847, 1, PULL1)," & --  PAD448
	" 849 (BC_2, IO_PAD448, input, X)," & --  PAD448
	" 850 (BC_2, *, controlr, 1)," &
	" 851 (BC_2, IO_PAD447, output3, X, 850, 1, PULL1)," & --  PAD447
	" 852 (BC_2, IO_PAD447, input, X)," & --  PAD447
	" 853 (BC_2, *, controlr, 1)," &
	" 854 (BC_2, IO_PAD446, output3, X, 853, 1, PULL1)," & --  PAD446
	" 855 (BC_2, IO_PAD446, input, X)," & --  PAD446
	" 856 (BC_2, *, controlr, 1)," &
	" 857 (BC_2, IO_PAD445, output3, X, 856, 1, PULL1)," & --  PAD445
	" 858 (BC_2, IO_PAD445, input, X)," & --  PAD445
	" 859 (BC_2, *, controlr, 1)," &
	" 860 (BC_2, IO_PAD444, output3, X, 859, 1, PULL1)," & --  PAD444
	" 861 (BC_2, IO_PAD444, input, X)," & --  PAD444
	" 862 (BC_2, *, controlr, 1)," &
	" 863 (BC_2, IO_PAD443, output3, X, 862, 1, PULL1)," & --  PAD443
	" 864 (BC_2, IO_PAD443, input, X)," & --  PAD443
	" 865 (BC_2, *, controlr, 1)," &
	" 866 (BC_2, IO_PAD442, output3, X, 865, 1, PULL1)," & --  PAD442
	" 867 (BC_2, IO_PAD442, input, X)," & --  PAD442
	" 868 (BC_2, *, controlr, 1)," &
	" 869 (BC_2, IO_PAD441, output3, X, 868, 1, PULL1)," & --  PAD441
	" 870 (BC_2, IO_PAD441, input, X)," & --  PAD441
	" 871 (BC_2, *, controlr, 1)," &
	" 872 (BC_2, IO_PAD440, output3, X, 871, 1, PULL1)," & --  PAD440
	" 873 (BC_2, IO_PAD440, input, X)," & --  PAD440
	" 874 (BC_2, *, controlr, 1)," &
	" 875 (BC_2, IO_PAD439, output3, X, 874, 1, PULL1)," & --  PAD439
	" 876 (BC_2, IO_PAD439, input, X)," & --  PAD439
	" 877 (BC_2, *, controlr, 1)," &
	" 878 (BC_2, IO_PAD438, output3, X, 877, 1, PULL1)," & --  PAD438
	" 879 (BC_2, IO_PAD438, input, X)," & --  PAD438
	" 880 (BC_2, *, controlr, 1)," &
	" 881 (BC_2, IO_PAD437, output3, X, 880, 1, PULL1)," & --  PAD437
	" 882 (BC_2, IO_PAD437, input, X)," & --  PAD437
	" 883 (BC_2, *, controlr, 1)," &
	" 884 (BC_2, IO_PAD436, output3, X, 883, 1, PULL1)," & --  PAD436
	" 885 (BC_2, IO_PAD436, input, X)," & --  PAD436
	" 886 (BC_2, *, controlr, 1)," &
	" 887 (BC_2, IO_PAD435, output3, X, 886, 1, PULL1)," & --  PAD435
	" 888 (BC_2, IO_PAD435, input, X)," & --  PAD435
	" 889 (BC_2, *, controlr, 1)," &
	" 890 (BC_2, IO_PAD434, output3, X, 889, 1, PULL1)," & --  PAD434
	" 891 (BC_2, IO_PAD434, input, X)," & --  PAD434
	" 892 (BC_2, *, controlr, 1)," &
	" 893 (BC_2, IO_PAD433, output3, X, 892, 1, PULL1)," & --  PAD433
	" 894 (BC_2, IO_PAD433, input, X)," & --  PAD433
	" 895 (BC_2, *, controlr, 1)," &
	" 896 (BC_2, IO_PAD432, output3, X, 895, 1, PULL1)," & --  PAD432
	" 897 (BC_2, IO_PAD432, input, X)," & --  PAD432
	" 898 (BC_2, *, controlr, 1)," &
	" 899 (BC_2, IO_PAD431, output3, X, 898, 1, PULL1)," & --  PAD431
	" 900 (BC_2, IO_PAD431, input, X)," & --  PAD431
	" 901 (BC_2, *, controlr, 1)," &
	" 902 (BC_2, IO_PAD430, output3, X, 901, 1, PULL1)," & --  PAD430
	" 903 (BC_2, IO_PAD430, input, X)," & --  PAD430
	" 904 (BC_2, *, controlr, 1)," &
	" 905 (BC_2, IO_PAD429, output3, X, 904, 1, PULL1)," & --  PAD429
	" 906 (BC_2, IO_PAD429, input, X)," & --  PAD429
	" 907 (BC_2, *, controlr, 1)," &
	" 908 (BC_2, IO_PAD428, output3, X, 907, 1, PULL1)," & --  PAD428
	" 909 (BC_2, IO_PAD428, input, X)," & --  PAD428
	" 910 (BC_2, *, controlr, 1)," &
	" 911 (BC_2, IO_PAD427, output3, X, 910, 1, PULL1)," & --  PAD427
	" 912 (BC_2, IO_PAD427, input, X)," & --  PAD427
	" 913 (BC_2, *, controlr, 1)," &
	" 914 (BC_2, IO_PAD426, output3, X, 913, 1, PULL1)," & --  PAD426
	" 915 (BC_2, IO_PAD426, input, X)," & --  PAD426
	" 916 (BC_2, *, controlr, 1)," &
	" 917 (BC_2, IO_PAD425, output3, X, 916, 1, PULL1)," & --  PAD425
	" 918 (BC_2, IO_PAD425, input, X)," & --  PAD425
	" 919 (BC_2, *, controlr, 1)," &
	" 920 (BC_2, IO_PAD424, output3, X, 919, 1, PULL1)," & --  PAD424
	" 921 (BC_2, IO_PAD424, input, X)," & --  PAD424
	" 922 (BC_2, *, controlr, 1)," &
	" 923 (BC_2, IO_PAD423, output3, X, 922, 1, PULL1)," & --  PAD423
	" 924 (BC_2, IO_PAD423, input, X)," & --  PAD423
	" 925 (BC_2, *, controlr, 1)," &
	" 926 (BC_2, IO_PAD422, output3, X, 925, 1, PULL1)," & --  PAD422
	" 927 (BC_2, IO_PAD422, input, X)," & --  PAD422
	" 928 (BC_2, *, controlr, 1)," &
	" 929 (BC_2, IO_PAD421, output3, X, 928, 1, PULL1)," & --  PAD421
	" 930 (BC_2, IO_PAD421, input, X)," & --  PAD421
	" 931 (BC_2, *, controlr, 1)," &
	" 932 (BC_2, IO_PAD420, output3, X, 931, 1, PULL1)," & --  PAD420
	" 933 (BC_2, IO_PAD420, input, X)," & --  PAD420
	" 934 (BC_2, *, controlr, 1)," &
	" 935 (BC_2, IO_PAD419, output3, X, 934, 1, PULL1)," & --  PAD419
	" 936 (BC_2, IO_PAD419, input, X)," & --  PAD419
	" 937 (BC_2, *, controlr, 1)," &
	" 938 (BC_2, IO_PAD418, output3, X, 937, 1, PULL1)," & --  PAD418
	" 939 (BC_2, IO_PAD418, input, X)," & --  PAD418
	" 940 (BC_2, *, controlr, 1)," &
	" 941 (BC_2, IO_PAD417, output3, X, 940, 1, PULL1)," & --  PAD417
	" 942 (BC_2, IO_PAD417, input, X)," & --  PAD417
	" 943 (BC_2, *, controlr, 1)," &
	" 944 (BC_2, IO_PAD416, output3, X, 943, 1, PULL1)," & --  PAD416
	" 945 (BC_2, IO_PAD416, input, X)," & --  PAD416
	" 946 (BC_2, *, controlr, 1)," &
	" 947 (BC_2, IO_PAD415, output3, X, 946, 1, PULL1)," & --  PAD415
	" 948 (BC_2, IO_PAD415, input, X)," & --  PAD415
	" 949 (BC_2, *, controlr, 1)," &
	" 950 (BC_2, IO_PAD414, output3, X, 949, 1, PULL1)," & --  PAD414
	" 951 (BC_2, IO_PAD414, input, X)," & --  PAD414
	" 952 (BC_2, *, controlr, 1)," &
	" 953 (BC_2, IO_PAD413, output3, X, 952, 1, PULL1)," & --  PAD413
	" 954 (BC_2, IO_PAD413, input, X)," & --  PAD413
	" 955 (BC_2, *, controlr, 1)," &
	" 956 (BC_2, IO_PAD412, output3, X, 955, 1, PULL1)," & --  PAD412
	" 957 (BC_2, IO_PAD412, input, X)," & --  PAD412
	" 958 (BC_2, *, controlr, 1)," &
	" 959 (BC_2, IO_PAD411, output3, X, 958, 1, PULL1)," & --  PAD411
	" 960 (BC_2, IO_PAD411, input, X)," & --  PAD411
	" 961 (BC_2, *, controlr, 1)," &
	" 962 (BC_2, IO_PAD410, output3, X, 961, 1, PULL1)," & --  PAD410
	" 963 (BC_2, IO_PAD410, input, X)," & --  PAD410
	" 964 (BC_2, *, controlr, 1)," &
	" 965 (BC_2, IO_PAD409, output3, X, 964, 1, PULL1)," & --  PAD409
	" 966 (BC_2, IO_PAD409, input, X)," & --  PAD409
	" 967 (BC_2, *, controlr, 1)," &
	" 968 (BC_2, IO_PAD408, output3, X, 967, 1, PULL1)," & --  PAD408
	" 969 (BC_2, IO_PAD408, input, X)," & --  PAD408
	" 970 (BC_2, *, controlr, 1)," &
	" 971 (BC_2, IO_PAD407, output3, X, 970, 1, PULL1)," & --  PAD407
	" 972 (BC_2, IO_PAD407, input, X)," & --  PAD407
	" 973 (BC_2, *, controlr, 1)," &
	" 974 (BC_2, IO_PAD406, output3, X, 973, 1, PULL1)," & --  PAD406
	" 975 (BC_2, IO_PAD406, input, X)," & --  PAD406
	" 976 (BC_2, *, controlr, 1)," &
	" 977 (BC_2, IO_PAD405, output3, X, 976, 1, PULL1)," & --  PAD405
	" 978 (BC_2, IO_PAD405, input, X)," & --  PAD405
	" 979 (BC_2, *, controlr, 1)," &
	" 980 (BC_2, IO_PAD404, output3, X, 979, 1, PULL1)," & --  PAD404
	" 981 (BC_2, IO_PAD404, input, X)," & --  PAD404
	" 982 (BC_2, *, controlr, 1)," &
	" 983 (BC_2, IO_PAD403, output3, X, 982, 1, PULL1)," & --  PAD403
	" 984 (BC_2, IO_PAD403, input, X)," & --  PAD403
	" 985 (BC_2, *, controlr, 1)," &
	" 986 (BC_2, IO_PAD402, output3, X, 985, 1, PULL1)," & --  PAD402
	" 987 (BC_2, IO_PAD402, input, X)," & --  PAD402
	" 988 (BC_2, *, controlr, 1)," &
	" 989 (BC_2, IO_PAD401, output3, X, 988, 1, PULL1)," & --  PAD401
	" 990 (BC_2, IO_PAD401, input, X)," & --  PAD401
	" 991 (BC_2, *, controlr, 1)," &
	" 992 (BC_2, IO_PAD400, output3, X, 991, 1, PULL1)," & --  PAD400
	" 993 (BC_2, IO_PAD400, input, X)," & --  PAD400
	" 994 (BC_2, *, controlr, 1)," &
	" 995 (BC_2, IO_PAD399, output3, X, 994, 1, PULL1)," & --  PAD399
	" 996 (BC_2, IO_PAD399, input, X)," & --  PAD399
	" 997 (BC_2, *, controlr, 1)," &
	" 998 (BC_2, IO_PAD398, output3, X, 997, 1, PULL1)," & --  PAD398
	" 999 (BC_2, IO_PAD398, input, X)," & --  PAD398
	"1000 (BC_2, *, controlr, 1)," &
	"1001 (BC_2, IO_PAD397, output3, X, 1000, 1, PULL1)," & --  PAD397
	"1002 (BC_2, IO_PAD397, input, X)," & --  PAD397
	"1003 (BC_2, *, controlr, 1)," &
	"1004 (BC_2, IO_PAD396, output3, X, 1003, 1, PULL1)," & --  PAD396
	"1005 (BC_2, IO_PAD396, input, X)," & --  PAD396
	"1006 (BC_2, *, controlr, 1)," &
	"1007 (BC_2, IO_PAD395, output3, X, 1006, 1, PULL1)," & --  PAD395
	"1008 (BC_2, IO_PAD395, input, X)," & --  PAD395
	"1009 (BC_2, *, controlr, 1)," &
	"1010 (BC_2, IO_PAD394, output3, X, 1009, 1, PULL1)," & --  PAD394
	"1011 (BC_2, IO_PAD394, input, X)," & --  PAD394
	"1012 (BC_2, *, controlr, 1)," &
	"1013 (BC_2, IO_PAD393, output3, X, 1012, 1, PULL1)," & --  PAD393
	"1014 (BC_2, IO_PAD393, input, X)," & --  PAD393
	"1015 (BC_2, *, controlr, 1)," &
	"1016 (BC_2, IO_PAD392, output3, X, 1015, 1, PULL1)," & --  PAD392
	"1017 (BC_2, IO_PAD392, input, X)," & --  PAD392
	"1018 (BC_2, *, controlr, 1)," &
	"1019 (BC_2, IO_PAD391, output3, X, 1018, 1, PULL1)," & --  PAD391
	"1020 (BC_2, IO_PAD391, input, X)," & --  PAD391
	"1021 (BC_2, *, controlr, 1)," &
	"1022 (BC_2, IO_PAD390, output3, X, 1021, 1, PULL1)," & --  PAD390
	"1023 (BC_2, IO_PAD390, input, X)," & --  PAD390
	"1024 (BC_2, *, controlr, 1)," &
	"1025 (BC_2, IO_PAD389, output3, X, 1024, 1, PULL1)," & --  PAD389
	"1026 (BC_2, IO_PAD389, input, X)," & --  PAD389
	"1027 (BC_2, *, controlr, 1)," &
	"1028 (BC_2, IO_PAD388, output3, X, 1027, 1, PULL1)," & --  PAD388
	"1029 (BC_2, IO_PAD388, input, X)," & --  PAD388
	"1030 (BC_2, *, controlr, 1)," &
	"1031 (BC_2, IO_PAD387, output3, X, 1030, 1, PULL1)," & --  PAD387
	"1032 (BC_2, IO_PAD387, input, X)," & --  PAD387
	"1033 (BC_2, *, controlr, 1)," &
	"1034 (BC_2, IO_PAD386, output3, X, 1033, 1, PULL1)," & --  PAD386
	"1035 (BC_2, IO_PAD386, input, X)," & --  PAD386
	"1036 (BC_2, *, controlr, 1)," &
	"1037 (BC_2, IO_PAD385, output3, X, 1036, 1, PULL1)," & --  PAD385
	"1038 (BC_2, IO_PAD385, input, X)," & --  PAD385
	"1039 (BC_2, *, controlr, 1)," &
	"1040 (BC_2, IO_PAD384, output3, X, 1039, 1, PULL1)," & --  PAD384
	"1041 (BC_2, IO_PAD384, input, X)," & --  PAD384
	"1042 (BC_2, *, controlr, 1)," &
	"1043 (BC_2, IO_PAD383, output3, X, 1042, 1, PULL1)," & --  PAD383
	"1044 (BC_2, IO_PAD383, input, X)," & --  PAD383
	"1045 (BC_2, *, controlr, 1)," &
	"1046 (BC_2, IO_PAD382, output3, X, 1045, 1, PULL1)," & --  PAD382
	"1047 (BC_2, IO_PAD382, input, X)," & --  PAD382
	"1048 (BC_2, *, controlr, 1)," &
	"1049 (BC_2, IO_PAD381, output3, X, 1048, 1, PULL1)," & --  PAD381
	"1050 (BC_2, IO_PAD381, input, X)," & --  PAD381
	"1051 (BC_2, *, controlr, 1)," &
	"1052 (BC_2, IO_PAD380, output3, X, 1051, 1, PULL1)," & --  PAD380
	"1053 (BC_2, IO_PAD380, input, X)," & --  PAD380
	"1054 (BC_2, *, controlr, 1)," &
	"1055 (BC_2, IO_PAD379, output3, X, 1054, 1, PULL1)," & --  PAD379
	"1056 (BC_2, IO_PAD379, input, X)," & --  PAD379
	"1057 (BC_2, *, controlr, 1)," &
	"1058 (BC_2, IO_PAD378, output3, X, 1057, 1, PULL1)," & --  PAD378
	"1059 (BC_2, IO_PAD378, input, X)," & --  PAD378
	"1060 (BC_2, *, controlr, 1)," &
	"1061 (BC_2, IO_PAD377, output3, X, 1060, 1, PULL1)," & --  PAD377
	"1062 (BC_2, IO_PAD377, input, X)," & --  PAD377
	"1063 (BC_2, *, controlr, 1)," &
	"1064 (BC_2, IO_PAD376, output3, X, 1063, 1, PULL1)," & --  PAD376
	"1065 (BC_2, IO_PAD376, input, X)," & --  PAD376
	"1066 (BC_2, *, controlr, 1)," &
	"1067 (BC_2, IO_PAD375, output3, X, 1066, 1, PULL1)," & --  PAD375
	"1068 (BC_2, IO_PAD375, input, X)," & --  PAD375
	"1069 (BC_2, *, controlr, 1)," &
	"1070 (BC_2, IO_PAD374, output3, X, 1069, 1, PULL1)," & --  PAD374
	"1071 (BC_2, IO_PAD374, input, X)," & --  PAD374
	"1072 (BC_2, *, controlr, 1)," &
	"1073 (BC_2, IO_PAD373, output3, X, 1072, 1, PULL1)," & --  PAD373
	"1074 (BC_2, IO_PAD373, input, X)," & --  PAD373
	"1075 (BC_2, *, controlr, 1)," &
	"1076 (BC_2, IO_PAD372, output3, X, 1075, 1, PULL1)," & --  PAD372
	"1077 (BC_2, IO_PAD372, input, X)," & --  PAD372
	"1078 (BC_2, *, controlr, 1)," &
	"1079 (BC_2, IO_PAD371, output3, X, 1078, 1, PULL1)," & --  PAD371
	"1080 (BC_2, IO_PAD371, input, X)," & --  PAD371
	"1081 (BC_2, *, controlr, 1)," &
	"1082 (BC_2, IO_PAD370, output3, X, 1081, 1, PULL1)," & --  PAD370
	"1083 (BC_2, IO_PAD370, input, X)," & --  PAD370
	"1084 (BC_2, *, controlr, 1)," &
	"1085 (BC_2, IO_PAD369, output3, X, 1084, 1, PULL1)," & --  PAD369
	"1086 (BC_2, IO_PAD369, input, X)," & --  PAD369
	"1087 (BC_2, *, controlr, 1)," &
	"1088 (BC_2, IO_PAD368, output3, X, 1087, 1, PULL1)," & --  PAD368
	"1089 (BC_2, IO_PAD368, input, X)," & --  PAD368
	"1090 (BC_2, *, controlr, 1)," &
	"1091 (BC_2, IO_PAD367, output3, X, 1090, 1, PULL1)," & --  PAD367
	"1092 (BC_2, IO_PAD367, input, X)," & --  PAD367
	"1093 (BC_2, *, controlr, 1)," &
	"1094 (BC_2, IO_PAD366, output3, X, 1093, 1, PULL1)," & --  PAD366
	"1095 (BC_2, IO_PAD366, input, X)," & --  PAD366
	"1096 (BC_2, *, controlr, 1)," &
	"1097 (BC_2, IO_PAD365, output3, X, 1096, 1, PULL1)," & --  PAD365
	"1098 (BC_2, IO_PAD365, input, X)," & --  PAD365
	"1099 (BC_2, *, controlr, 1)," &
	"1100 (BC_2, IO_PAD364, output3, X, 1099, 1, PULL1)," & --  PAD364
	"1101 (BC_2, IO_PAD364, input, X)," & --  PAD364
	"1102 (BC_2, *, controlr, 1)," &
	"1103 (BC_2, IO_PAD363, output3, X, 1102, 1, PULL1)," & --  PAD363
	"1104 (BC_2, IO_PAD363, input, X)," & --  PAD363
	"1105 (BC_2, *, controlr, 1)," &
	"1106 (BC_2, IO_PAD362, output3, X, 1105, 1, PULL1)," & --  PAD362
	"1107 (BC_2, IO_PAD362, input, X)," & --  PAD362
	"1108 (BC_2, *, controlr, 1)," &
	"1109 (BC_2, IO_PAD361, output3, X, 1108, 1, PULL1)," & --  PAD361
	"1110 (BC_2, IO_PAD361, input, X)," & --  PAD361
	"1111 (BC_2, *, internal, X)," &
	"1112 (BC_2, *, internal, X)," &
	"1113 (BC_2, *, internal, X)," &
	"1114 (BC_2, *, internal, X)," &
	"1115 (BC_2, *, internal, X)," &
	"1116 (BC_2, *, internal, X)," &
	"1117 (BC_2, *, internal, X)," &
	"1118 (BC_2, *, internal, X)," &
	"1119 (BC_2, *, internal, X)," &
	"1120 (BC_2, *, internal, X)," &
	"1121 (BC_4, MGTRXN0_110, OBSERVE_ONLY, X)," &
	"1122 (BC_4, MGTRXP0_110, OBSERVE_ONLY, X)," &
	"1123 (AC_2, MGTTXP0_110, OUTPUT2, X)," &
	"1124 (BC_4, MGTRXN1_110, OBSERVE_ONLY, X)," &
	"1125 (BC_4, MGTRXP1_110, OBSERVE_ONLY, X)," &
	"1126 (AC_2, MGTTXP1_110, OUTPUT2, X)," &
	"1127 (BC_4, MGTRXN2_110, OBSERVE_ONLY, X)," &
	"1128 (BC_4, MGTRXP2_110, OBSERVE_ONLY, X)," &
	"1129 (AC_2, MGTTXP2_110, OUTPUT2, X)," &
	"1130 (BC_4, MGTRXN3_110, OBSERVE_ONLY, X)," &
	"1131 (BC_4, MGTRXP3_110, OBSERVE_ONLY, X)," &
	"1132 (AC_2, MGTTXP3_110, OUTPUT2, X)," &
	"1133 (BC_4, MGTRXN0_111, OBSERVE_ONLY, X)," &
	"1134 (BC_4, MGTRXP0_111, OBSERVE_ONLY, X)," &
	"1135 (AC_2, MGTTXP0_111, OUTPUT2, X)," &
	"1136 (BC_4, MGTRXN1_111, OBSERVE_ONLY, X)," &
	"1137 (BC_4, MGTRXP1_111, OBSERVE_ONLY, X)," &
	"1138 (AC_2, MGTTXP1_111, OUTPUT2, X)," &
	"1139 (BC_4, MGTRXN2_111, OBSERVE_ONLY, X)," &
	"1140 (BC_4, MGTRXP2_111, OBSERVE_ONLY, X)," &
	"1141 (AC_2, MGTTXP2_111, OUTPUT2, X)," &
	"1142 (BC_4, MGTRXN3_111, OBSERVE_ONLY, X)," &
	"1143 (BC_4, MGTRXP3_111, OBSERVE_ONLY, X)," &
	"1144 (AC_2, MGTTXP3_111, OUTPUT2, X)," &
	"1145 (BC_4, MGTRXN0_112, OBSERVE_ONLY, X)," &
	"1146 (BC_4, MGTRXP0_112, OBSERVE_ONLY, X)," &
	"1147 (AC_2, MGTTXP0_112, OUTPUT2, X)," &
	"1148 (BC_4, MGTRXN1_112, OBSERVE_ONLY, X)," &
	"1149 (BC_4, MGTRXP1_112, OBSERVE_ONLY, X)," &
	"1150 (AC_2, MGTTXP1_112, OUTPUT2, X)," &
	"1151 (BC_4, MGTRXN2_112, OBSERVE_ONLY, X)," &
	"1152 (BC_4, MGTRXP2_112, OBSERVE_ONLY, X)," &
	"1153 (AC_2, MGTTXP2_112, OUTPUT2, X)," &
	"1154 (BC_4, MGTRXN3_112, OBSERVE_ONLY, X)," &
	"1155 (BC_4, MGTRXP3_112, OBSERVE_ONLY, X)," &
	"1156 (AC_2, MGTTXP3_112, OUTPUT2, X)," &
	"1157 (BC_4, MGTRXN0_113, OBSERVE_ONLY, X)," &
	"1158 (BC_4, MGTRXP0_113, OBSERVE_ONLY, X)," &
	"1159 (AC_2, MGTTXP0_113, OUTPUT2, X)," &
	"1160 (BC_4, MGTRXN1_113, OBSERVE_ONLY, X)," &
	"1161 (BC_4, MGTRXP1_113, OBSERVE_ONLY, X)," &
	"1162 (AC_2, MGTTXP1_113, OUTPUT2, X)," &
	"1163 (BC_4, MGTRXN2_113, OBSERVE_ONLY, X)," &
	"1164 (BC_4, MGTRXP2_113, OBSERVE_ONLY, X)," &
	"1165 (AC_2, MGTTXP2_113, OUTPUT2, X)," &
	"1166 (BC_4, MGTRXN3_113, OBSERVE_ONLY, X)," &
	"1167 (BC_4, MGTRXP3_113, OBSERVE_ONLY, X)," &
	"1168 (AC_2, MGTTXP3_113, OUTPUT2, X)," &
	"1169 (BC_4, MGTRXN0_114, OBSERVE_ONLY, X)," &
	"1170 (BC_4, MGTRXP0_114, OBSERVE_ONLY, X)," &
	"1171 (AC_2, MGTTXP0_114, OUTPUT2, X)," &
	"1172 (BC_4, MGTRXN1_114, OBSERVE_ONLY, X)," &
	"1173 (BC_4, MGTRXP1_114, OBSERVE_ONLY, X)," &
	"1174 (AC_2, MGTTXP1_114, OUTPUT2, X)," &
	"1175 (BC_4, MGTRXN2_114, OBSERVE_ONLY, X)," &
	"1176 (BC_4, MGTRXP2_114, OBSERVE_ONLY, X)," &
	"1177 (AC_2, MGTTXP2_114, OUTPUT2, X)," &
	"1178 (BC_4, MGTRXN3_114, OBSERVE_ONLY, X)," &
	"1179 (BC_4, MGTRXP3_114, OBSERVE_ONLY, X)," &
	"1180 (AC_2, MGTTXP3_114, OUTPUT2, X)," &
	"1181 (BC_4, MGTRXN0_115, OBSERVE_ONLY, X)," &
	"1182 (BC_4, MGTRXP0_115, OBSERVE_ONLY, X)," &
	"1183 (AC_2, MGTTXP0_115, OUTPUT2, X)," &
	"1184 (BC_4, MGTRXN1_115, OBSERVE_ONLY, X)," &
	"1185 (BC_4, MGTRXP1_115, OBSERVE_ONLY, X)," &
	"1186 (AC_2, MGTTXP1_115, OUTPUT2, X)," &
	"1187 (BC_4, MGTRXN2_115, OBSERVE_ONLY, X)," &
	"1188 (BC_4, MGTRXP2_115, OBSERVE_ONLY, X)," &
	"1189 (AC_2, MGTTXP2_115, OUTPUT2, X)," &
	"1190 (BC_4, MGTRXN3_115, OBSERVE_ONLY, X)," &
	"1191 (BC_4, MGTRXP3_115, OBSERVE_ONLY, X)," &
	"1192 (AC_2, MGTTXP3_115, OUTPUT2, X)," &
	"1193 (BC_4, MGTRXN2_116, OBSERVE_ONLY, 1)," &
	"1194 (BC_4, MGTRXP2_116, OBSERVE_ONLY, 1)," &
	"1195 (BC_4, MGTRXN3_116, OBSERVE_ONLY, 1)," &
	"1196 (BC_4, MGTRXP3_116, OBSERVE_ONLY, 1)," &
	"1197 (AC_1, MGTTXP2_116, OUTPUT2, 1)," &
	"1198 (AC_1, MGTTXP3_116, OUTPUT2, 1)," &
	"1199 (BC_4, MGTRXN0_116, OBSERVE_ONLY, 1)," &
	"1200 (BC_4, MGTRXP0_116, OBSERVE_ONLY, 1)," &
	"1201 (BC_4, MGTRXN1_116, OBSERVE_ONLY, 1)," &
	"1202 (BC_4, MGTRXP1_116, OBSERVE_ONLY, 1)," &
	"1203 (AC_1, MGTTXP0_116, OUTPUT2, 1)," &
	"1204 (AC_1, MGTTXP1_116, OUTPUT2, 1)," &
	"1205 (BC_4, MGTRXN2_117, OBSERVE_ONLY, X)," &
	"1206 (BC_4, MGTRXP2_117, OBSERVE_ONLY, X)," &
	"1207 (BC_4, MGTRXN3_117, OBSERVE_ONLY, X)," &
	"1208 (BC_4, MGTRXP3_117, OBSERVE_ONLY, X)," &
	"1209 (AC_1, MGTTXP2_117, OUTPUT2, X)," &
	"1210 (AC_1, MGTTXP3_117, OUTPUT2, X)," &
	"1211 (BC_4, MGTRXN0_117, OBSERVE_ONLY, X)," &
	"1212 (BC_4, MGTRXP0_117, OBSERVE_ONLY, X)," &
	"1213 (BC_4, MGTRXN1_117, OBSERVE_ONLY, X)," &
	"1214 (BC_4, MGTRXP1_117, OBSERVE_ONLY, X)," &
	"1215 (AC_1, MGTTXP0_117, OUTPUT2, X)," &
	"1216 (AC_1, MGTTXP1_117, OUTPUT2, X)," &
	"1217 (BC_4, MGTRXN2_118, OBSERVE_ONLY, X)," &
	"1218 (BC_4, MGTRXP2_118, OBSERVE_ONLY, X)," &
	"1219 (BC_4, MGTRXN3_118, OBSERVE_ONLY, X)," &
	"1220 (BC_4, MGTRXP3_118, OBSERVE_ONLY, X)," &
	"1221 (AC_1, MGTTXP2_118, OUTPUT2, X)," &
	"1222 (AC_1, MGTTXP3_118, OUTPUT2, X)," &
	"1223 (BC_4, MGTRXN0_118, OBSERVE_ONLY, X)," &
	"1224 (BC_4, MGTRXP0_118, OBSERVE_ONLY, X)," &
	"1225 (BC_4, MGTRXN1_118, OBSERVE_ONLY, X)," &
	"1226 (BC_4, MGTRXP1_118, OBSERVE_ONLY, X)," &
	"1227 (AC_1, MGTTXP0_118, OUTPUT2, X)," &
	"1228 (AC_1, MGTTXP1_118, OUTPUT2, X)," &
	"1229 (BC_2, *, internal, X)," &
	"1230 (BC_2, *, internal, X)," &
	"1231 (BC_2, *, internal, X)," &
	"1232 (BC_2, *, internal, X)," &
	"1233 (BC_2, *, internal, X)," &
	"1234 (BC_2, *, internal, X)," &
	"1235 (BC_2, *, internal, X)," &
	"1236 (BC_2, *, internal, X)," &
	"1237 (BC_2, *, internal, X)," &
	"1238 (BC_2, *, internal, X)," &
	"1239 (BC_2, *, controlr, 1)," &
	"1240 (BC_2, IO_PAD360, output3, X, 1239, 1, PULL1)," & --  PAD360
	"1241 (BC_2, IO_PAD360, input, X)," & --  PAD360
	"1242 (BC_2, *, controlr, 1)," &
	"1243 (BC_2, IO_PAD359, output3, X, 1242, 1, PULL1)," & --  PAD359
	"1244 (BC_2, IO_PAD359, input, X)," & --  PAD359
	"1245 (BC_2, *, controlr, 1)," &
	"1246 (BC_2, IO_PAD358, output3, X, 1245, 1, PULL1)," & --  PAD358
	"1247 (BC_2, IO_PAD358, input, X)," & --  PAD358
	"1248 (BC_2, *, controlr, 1)," &
	"1249 (BC_2, IO_PAD357, output3, X, 1248, 1, PULL1)," & --  PAD357
	"1250 (BC_2, IO_PAD357, input, X)," & --  PAD357
	"1251 (BC_2, *, controlr, 1)," &
	"1252 (BC_2, IO_PAD356, output3, X, 1251, 1, PULL1)," & --  PAD356
	"1253 (BC_2, IO_PAD356, input, X)," & --  PAD356
	"1254 (BC_2, *, controlr, 1)," &
	"1255 (BC_2, IO_PAD355, output3, X, 1254, 1, PULL1)," & --  PAD355
	"1256 (BC_2, IO_PAD355, input, X)," & --  PAD355
	"1257 (BC_2, *, controlr, 1)," &
	"1258 (BC_2, IO_PAD354, output3, X, 1257, 1, PULL1)," & --  PAD354
	"1259 (BC_2, IO_PAD354, input, X)," & --  PAD354
	"1260 (BC_2, *, controlr, 1)," &
	"1261 (BC_2, IO_PAD353, output3, X, 1260, 1, PULL1)," & --  PAD353
	"1262 (BC_2, IO_PAD353, input, X)," & --  PAD353
	"1263 (BC_2, *, controlr, 1)," &
	"1264 (BC_2, IO_PAD352, output3, X, 1263, 1, PULL1)," & --  PAD352
	"1265 (BC_2, IO_PAD352, input, X)," & --  PAD352
	"1266 (BC_2, *, controlr, 1)," &
	"1267 (BC_2, IO_PAD351, output3, X, 1266, 1, PULL1)," & --  PAD351
	"1268 (BC_2, IO_PAD351, input, X)," & --  PAD351
	"1269 (BC_2, *, controlr, 1)," &
	"1270 (BC_2, IO_PAD350, output3, X, 1269, 1, PULL1)," & --  PAD350
	"1271 (BC_2, IO_PAD350, input, X)," & --  PAD350
	"1272 (BC_2, *, controlr, 1)," &
	"1273 (BC_2, IO_PAD349, output3, X, 1272, 1, PULL1)," & --  PAD349
	"1274 (BC_2, IO_PAD349, input, X)," & --  PAD349
	"1275 (BC_2, *, controlr, 1)," &
	"1276 (BC_2, IO_PAD348, output3, X, 1275, 1, PULL1)," & --  PAD348
	"1277 (BC_2, IO_PAD348, input, X)," & --  PAD348
	"1278 (BC_2, *, controlr, 1)," &
	"1279 (BC_2, IO_PAD347, output3, X, 1278, 1, PULL1)," & --  PAD347
	"1280 (BC_2, IO_PAD347, input, X)," & --  PAD347
	"1281 (BC_2, *, controlr, 1)," &
	"1282 (BC_2, IO_PAD346, output3, X, 1281, 1, PULL1)," & --  PAD346
	"1283 (BC_2, IO_PAD346, input, X)," & --  PAD346
	"1284 (BC_2, *, controlr, 1)," &
	"1285 (BC_2, IO_PAD345, output3, X, 1284, 1, PULL1)," & --  PAD345
	"1286 (BC_2, IO_PAD345, input, X)," & --  PAD345
	"1287 (BC_2, *, controlr, 1)," &
	"1288 (BC_2, IO_PAD344, output3, X, 1287, 1, PULL1)," & --  PAD344
	"1289 (BC_2, IO_PAD344, input, X)," & --  PAD344
	"1290 (BC_2, *, controlr, 1)," &
	"1291 (BC_2, IO_PAD343, output3, X, 1290, 1, PULL1)," & --  PAD343
	"1292 (BC_2, IO_PAD343, input, X)," & --  PAD343
	"1293 (BC_2, *, controlr, 1)," &
	"1294 (BC_2, IO_PAD342, output3, X, 1293, 1, PULL1)," & --  PAD342
	"1295 (BC_2, IO_PAD342, input, X)," & --  PAD342
	"1296 (BC_2, *, controlr, 1)," &
	"1297 (BC_2, IO_PAD341, output3, X, 1296, 1, PULL1)," & --  PAD341
	"1298 (BC_2, IO_PAD341, input, X)," & --  PAD341
	"1299 (BC_2, *, controlr, 1)," &
	"1300 (BC_2, IO_PAD340, output3, X, 1299, 1, PULL1)," & --  PAD340
	"1301 (BC_2, IO_PAD340, input, X)," & --  PAD340
	"1302 (BC_2, *, controlr, 1)," &
	"1303 (BC_2, IO_PAD339, output3, X, 1302, 1, PULL1)," & --  PAD339
	"1304 (BC_2, IO_PAD339, input, X)," & --  PAD339
	"1305 (BC_2, *, controlr, 1)," &
	"1306 (BC_2, IO_PAD338, output3, X, 1305, 1, PULL1)," & --  PAD338
	"1307 (BC_2, IO_PAD338, input, X)," & --  PAD338
	"1308 (BC_2, *, controlr, 1)," &
	"1309 (BC_2, IO_PAD337, output3, X, 1308, 1, PULL1)," & --  PAD337
	"1310 (BC_2, IO_PAD337, input, X)," & --  PAD337
	"1311 (BC_2, *, controlr, 1)," &
	"1312 (BC_2, IO_PAD336, output3, X, 1311, 1, PULL1)," & --  PAD336
	"1313 (BC_2, IO_PAD336, input, X)," & --  PAD336
	"1314 (BC_2, *, controlr, 1)," &
	"1315 (BC_2, IO_PAD335, output3, X, 1314, 1, PULL1)," & --  PAD335
	"1316 (BC_2, IO_PAD335, input, X)," & --  PAD335
	"1317 (BC_2, *, controlr, 1)," &
	"1318 (BC_2, IO_PAD334, output3, X, 1317, 1, PULL1)," & --  PAD334
	"1319 (BC_2, IO_PAD334, input, X)," & --  PAD334
	"1320 (BC_2, *, controlr, 1)," &
	"1321 (BC_2, IO_PAD333, output3, X, 1320, 1, PULL1)," & --  PAD333
	"1322 (BC_2, IO_PAD333, input, X)," & --  PAD333
	"1323 (BC_2, *, controlr, 1)," &
	"1324 (BC_2, IO_PAD332, output3, X, 1323, 1, PULL1)," & --  PAD332
	"1325 (BC_2, IO_PAD332, input, X)," & --  PAD332
	"1326 (BC_2, *, controlr, 1)," &
	"1327 (BC_2, IO_PAD331, output3, X, 1326, 1, PULL1)," & --  PAD331
	"1328 (BC_2, IO_PAD331, input, X)," & --  PAD331
	"1329 (BC_2, *, controlr, 1)," &
	"1330 (BC_2, IO_PAD330, output3, X, 1329, 1, PULL1)," & --  PAD330
	"1331 (BC_2, IO_PAD330, input, X)," & --  PAD330
	"1332 (BC_2, *, controlr, 1)," &
	"1333 (BC_2, IO_PAD329, output3, X, 1332, 1, PULL1)," & --  PAD329
	"1334 (BC_2, IO_PAD329, input, X)," & --  PAD329
	"1335 (BC_2, *, controlr, 1)," &
	"1336 (BC_2, IO_PAD328, output3, X, 1335, 1, PULL1)," & --  PAD328
	"1337 (BC_2, IO_PAD328, input, X)," & --  PAD328
	"1338 (BC_2, *, controlr, 1)," &
	"1339 (BC_2, IO_PAD327, output3, X, 1338, 1, PULL1)," & --  PAD327
	"1340 (BC_2, IO_PAD327, input, X)," & --  PAD327
	"1341 (BC_2, *, controlr, 1)," &
	"1342 (BC_2, IO_PAD326, output3, X, 1341, 1, PULL1)," & --  PAD326
	"1343 (BC_2, IO_PAD326, input, X)," & --  PAD326
	"1344 (BC_2, *, controlr, 1)," &
	"1345 (BC_2, IO_PAD325, output3, X, 1344, 1, PULL1)," & --  PAD325
	"1346 (BC_2, IO_PAD325, input, X)," & --  PAD325
	"1347 (BC_2, *, controlr, 1)," &
	"1348 (BC_2, IO_PAD324, output3, X, 1347, 1, PULL1)," & --  PAD324
	"1349 (BC_2, IO_PAD324, input, X)," & --  PAD324
	"1350 (BC_2, *, controlr, 1)," &
	"1351 (BC_2, IO_PAD323, output3, X, 1350, 1, PULL1)," & --  PAD323
	"1352 (BC_2, IO_PAD323, input, X)," & --  PAD323
	"1353 (BC_2, *, controlr, 1)," &
	"1354 (BC_2, IO_PAD322, output3, X, 1353, 1, PULL1)," & --  PAD322
	"1355 (BC_2, IO_PAD322, input, X)," & --  PAD322
	"1356 (BC_2, *, controlr, 1)," &
	"1357 (BC_2, IO_PAD321, output3, X, 1356, 1, PULL1)," & --  PAD321
	"1358 (BC_2, IO_PAD321, input, X)," & --  PAD321
	"1359 (BC_2, *, controlr, 1)," &
	"1360 (BC_2, IO_PAD320, output3, X, 1359, 1, PULL1)," & --  PAD320
	"1361 (BC_2, IO_PAD320, input, X)," & --  PAD320
	"1362 (BC_2, *, controlr, 1)," &
	"1363 (BC_2, IO_PAD319, output3, X, 1362, 1, PULL1)," & --  PAD319
	"1364 (BC_2, IO_PAD319, input, X)," & --  PAD319
	"1365 (BC_2, *, controlr, 1)," &
	"1366 (BC_2, IO_PAD318, output3, X, 1365, 1, PULL1)," & --  PAD318
	"1367 (BC_2, IO_PAD318, input, X)," & --  PAD318
	"1368 (BC_2, *, controlr, 1)," &
	"1369 (BC_2, IO_PAD317, output3, X, 1368, 1, PULL1)," & --  PAD317
	"1370 (BC_2, IO_PAD317, input, X)," & --  PAD317
	"1371 (BC_2, *, controlr, 1)," &
	"1372 (BC_2, IO_PAD316, output3, X, 1371, 1, PULL1)," & --  PAD316
	"1373 (BC_2, IO_PAD316, input, X)," & --  PAD316
	"1374 (BC_2, *, controlr, 1)," &
	"1375 (BC_2, IO_PAD315, output3, X, 1374, 1, PULL1)," & --  PAD315
	"1376 (BC_2, IO_PAD315, input, X)," & --  PAD315
	"1377 (BC_2, *, controlr, 1)," &
	"1378 (BC_2, IO_PAD314, output3, X, 1377, 1, PULL1)," & --  PAD314
	"1379 (BC_2, IO_PAD314, input, X)," & --  PAD314
	"1380 (BC_2, *, controlr, 1)," &
	"1381 (BC_2, IO_PAD313, output3, X, 1380, 1, PULL1)," & --  PAD313
	"1382 (BC_2, IO_PAD313, input, X)," & --  PAD313
	"1383 (BC_2, *, controlr, 1)," &
	"1384 (BC_2, IO_PAD312, output3, X, 1383, 1, PULL1)," & --  PAD312
	"1385 (BC_2, IO_PAD312, input, X)," & --  PAD312
	"1386 (BC_2, *, controlr, 1)," &
	"1387 (BC_2, IO_PAD311, output3, X, 1386, 1, PULL1)," & --  PAD311
	"1388 (BC_2, IO_PAD311, input, X)," & --  PAD311
	"1389 (BC_2, *, controlr, 1)," &
	"1390 (BC_2, IO_PAD310, output3, X, 1389, 1, PULL1)," & --  PAD310
	"1391 (BC_2, IO_PAD310, input, X)," & --  PAD310
	"1392 (BC_2, *, controlr, 1)," &
	"1393 (BC_2, IO_PAD309, output3, X, 1392, 1, PULL1)," & --  PAD309
	"1394 (BC_2, IO_PAD309, input, X)," & --  PAD309
	"1395 (BC_2, *, controlr, 1)," &
	"1396 (BC_2, IO_PAD308, output3, X, 1395, 1, PULL1)," & --  PAD308
	"1397 (BC_2, IO_PAD308, input, X)," & --  PAD308
	"1398 (BC_2, *, controlr, 1)," &
	"1399 (BC_2, IO_PAD307, output3, X, 1398, 1, PULL1)," & --  PAD307
	"1400 (BC_2, IO_PAD307, input, X)," & --  PAD307
	"1401 (BC_2, *, controlr, 1)," &
	"1402 (BC_2, IO_PAD306, output3, X, 1401, 1, PULL1)," & --  PAD306
	"1403 (BC_2, IO_PAD306, input, X)," & --  PAD306
	"1404 (BC_2, *, controlr, 1)," &
	"1405 (BC_2, IO_PAD305, output3, X, 1404, 1, PULL1)," & --  PAD305
	"1406 (BC_2, IO_PAD305, input, X)," & --  PAD305
	"1407 (BC_2, *, controlr, 1)," &
	"1408 (BC_2, IO_PAD304, output3, X, 1407, 1, PULL1)," & --  PAD304
	"1409 (BC_2, IO_PAD304, input, X)," & --  PAD304
	"1410 (BC_2, *, controlr, 1)," &
	"1411 (BC_2, IO_PAD303, output3, X, 1410, 1, PULL1)," & --  PAD303
	"1412 (BC_2, IO_PAD303, input, X)," & --  PAD303
	"1413 (BC_2, *, controlr, 1)," &
	"1414 (BC_2, IO_PAD302, output3, X, 1413, 1, PULL1)," & --  PAD302
	"1415 (BC_2, IO_PAD302, input, X)," & --  PAD302
	"1416 (BC_2, *, controlr, 1)," &
	"1417 (BC_2, IO_PAD301, output3, X, 1416, 1, PULL1)," & --  PAD301
	"1418 (BC_2, IO_PAD301, input, X)," & --  PAD301
	"1419 (BC_2, *, controlr, 1)," &
	"1420 (BC_2, IO_PAD300, output3, X, 1419, 1, PULL1)," & --  PAD300
	"1421 (BC_2, IO_PAD300, input, X)," & --  PAD300
	"1422 (BC_2, *, controlr, 1)," &
	"1423 (BC_2, IO_PAD299, output3, X, 1422, 1, PULL1)," & --  PAD299
	"1424 (BC_2, IO_PAD299, input, X)," & --  PAD299
	"1425 (BC_2, *, controlr, 1)," &
	"1426 (BC_2, IO_PAD298, output3, X, 1425, 1, PULL1)," & --  PAD298
	"1427 (BC_2, IO_PAD298, input, X)," & --  PAD298
	"1428 (BC_2, *, controlr, 1)," &
	"1429 (BC_2, IO_PAD297, output3, X, 1428, 1, PULL1)," & --  PAD297
	"1430 (BC_2, IO_PAD297, input, X)," & --  PAD297
	"1431 (BC_2, *, controlr, 1)," &
	"1432 (BC_2, IO_PAD296, output3, X, 1431, 1, PULL1)," & --  PAD296
	"1433 (BC_2, IO_PAD296, input, X)," & --  PAD296
	"1434 (BC_2, *, controlr, 1)," &
	"1435 (BC_2, IO_PAD295, output3, X, 1434, 1, PULL1)," & --  PAD295
	"1436 (BC_2, IO_PAD295, input, X)," & --  PAD295
	"1437 (BC_2, *, controlr, 1)," &
	"1438 (BC_2, IO_PAD294, output3, X, 1437, 1, PULL1)," & --  PAD294
	"1439 (BC_2, IO_PAD294, input, X)," & --  PAD294
	"1440 (BC_2, *, controlr, 1)," &
	"1441 (BC_2, IO_PAD293, output3, X, 1440, 1, PULL1)," & --  PAD293
	"1442 (BC_2, IO_PAD293, input, X)," & --  PAD293
	"1443 (BC_2, *, controlr, 1)," &
	"1444 (BC_2, IO_PAD292, output3, X, 1443, 1, PULL1)," & --  PAD292
	"1445 (BC_2, IO_PAD292, input, X)," & --  PAD292
	"1446 (BC_2, *, controlr, 1)," &
	"1447 (BC_2, IO_PAD291, output3, X, 1446, 1, PULL1)," & --  PAD291
	"1448 (BC_2, IO_PAD291, input, X)," & --  PAD291
	"1449 (BC_2, *, controlr, 1)," &
	"1450 (BC_2, IO_PAD290, output3, X, 1449, 1, PULL1)," & --  PAD290
	"1451 (BC_2, IO_PAD290, input, X)," & --  PAD290
	"1452 (BC_2, *, controlr, 1)," &
	"1453 (BC_2, IO_PAD289, output3, X, 1452, 1, PULL1)," & --  PAD289
	"1454 (BC_2, IO_PAD289, input, X)," & --  PAD289
	"1455 (BC_2, *, controlr, 1)," &
	"1456 (BC_2, IO_PAD288, output3, X, 1455, 1, PULL1)," & --  PAD288
	"1457 (BC_2, IO_PAD288, input, X)," & --  PAD288
	"1458 (BC_2, *, controlr, 1)," &
	"1459 (BC_2, IO_PAD287, output3, X, 1458, 1, PULL1)," & --  PAD287
	"1460 (BC_2, IO_PAD287, input, X)," & --  PAD287
	"1461 (BC_2, *, controlr, 1)," &
	"1462 (BC_2, IO_PAD286, output3, X, 1461, 1, PULL1)," & --  PAD286
	"1463 (BC_2, IO_PAD286, input, X)," & --  PAD286
	"1464 (BC_2, *, controlr, 1)," &
	"1465 (BC_2, IO_PAD285, output3, X, 1464, 1, PULL1)," & --  PAD285
	"1466 (BC_2, IO_PAD285, input, X)," & --  PAD285
	"1467 (BC_2, *, controlr, 1)," &
	"1468 (BC_2, IO_PAD284, output3, X, 1467, 1, PULL1)," & --  PAD284
	"1469 (BC_2, IO_PAD284, input, X)," & --  PAD284
	"1470 (BC_2, *, controlr, 1)," &
	"1471 (BC_2, IO_PAD283, output3, X, 1470, 1, PULL1)," & --  PAD283
	"1472 (BC_2, IO_PAD283, input, X)," & --  PAD283
	"1473 (BC_2, *, controlr, 1)," &
	"1474 (BC_2, IO_PAD282, output3, X, 1473, 1, PULL1)," & --  PAD282
	"1475 (BC_2, IO_PAD282, input, X)," & --  PAD282
	"1476 (BC_2, *, controlr, 1)," &
	"1477 (BC_2, IO_PAD281, output3, X, 1476, 1, PULL1)," & --  PAD281
	"1478 (BC_2, IO_PAD281, input, X)," & --  PAD281
	"1479 (BC_2, *, controlr, 1)," &
	"1480 (BC_2, IO_PAD280, output3, X, 1479, 1, PULL1)," & --  PAD280
	"1481 (BC_2, IO_PAD280, input, X)," & --  PAD280
	"1482 (BC_2, *, controlr, 1)," &
	"1483 (BC_2, IO_PAD279, output3, X, 1482, 1, PULL1)," & --  PAD279
	"1484 (BC_2, IO_PAD279, input, X)," & --  PAD279
	"1485 (BC_2, *, controlr, 1)," &
	"1486 (BC_2, IO_PAD278, output3, X, 1485, 1, PULL1)," & --  PAD278
	"1487 (BC_2, IO_PAD278, input, X)," & --  PAD278
	"1488 (BC_2, *, controlr, 1)," &
	"1489 (BC_2, IO_PAD277, output3, X, 1488, 1, PULL1)," & --  PAD277
	"1490 (BC_2, IO_PAD277, input, X)," & --  PAD277
	"1491 (BC_2, *, controlr, 1)," &
	"1492 (BC_2, IO_PAD276, output3, X, 1491, 1, PULL1)," & --  PAD276
	"1493 (BC_2, IO_PAD276, input, X)," & --  PAD276
	"1494 (BC_2, *, controlr, 1)," &
	"1495 (BC_2, IO_PAD275, output3, X, 1494, 1, PULL1)," & --  PAD275
	"1496 (BC_2, IO_PAD275, input, X)," & --  PAD275
	"1497 (BC_2, *, controlr, 1)," &
	"1498 (BC_2, IO_PAD274, output3, X, 1497, 1, PULL1)," & --  PAD274
	"1499 (BC_2, IO_PAD274, input, X)," & --  PAD274
	"1500 (BC_2, *, controlr, 1)," &
	"1501 (BC_2, IO_PAD273, output3, X, 1500, 1, PULL1)," & --  PAD273
	"1502 (BC_2, IO_PAD273, input, X)," & --  PAD273
	"1503 (BC_2, *, controlr, 1)," &
	"1504 (BC_2, IO_PAD272, output3, X, 1503, 1, PULL1)," & --  PAD272
	"1505 (BC_2, IO_PAD272, input, X)," & --  PAD272
	"1506 (BC_2, *, controlr, 1)," &
	"1507 (BC_2, IO_PAD271, output3, X, 1506, 1, PULL1)," & --  PAD271
	"1508 (BC_2, IO_PAD271, input, X)," & --  PAD271
	"1509 (BC_2, *, controlr, 1)," &
	"1510 (BC_2, IO_PAD270, output3, X, 1509, 1, PULL1)," & --  PAD270
	"1511 (BC_2, IO_PAD270, input, X)," & --  PAD270
	"1512 (BC_2, *, controlr, 1)," &
	"1513 (BC_2, IO_PAD269, output3, X, 1512, 1, PULL1)," & --  PAD269
	"1514 (BC_2, IO_PAD269, input, X)," & --  PAD269
	"1515 (BC_2, *, controlr, 1)," &
	"1516 (BC_2, IO_PAD268, output3, X, 1515, 1, PULL1)," & --  PAD268
	"1517 (BC_2, IO_PAD268, input, X)," & --  PAD268
	"1518 (BC_2, *, controlr, 1)," &
	"1519 (BC_2, IO_PAD267, output3, X, 1518, 1, PULL1)," & --  PAD267
	"1520 (BC_2, IO_PAD267, input, X)," & --  PAD267
	"1521 (BC_2, *, controlr, 1)," &
	"1522 (BC_2, IO_PAD266, output3, X, 1521, 1, PULL1)," & --  PAD266
	"1523 (BC_2, IO_PAD266, input, X)," & --  PAD266
	"1524 (BC_2, *, controlr, 1)," &
	"1525 (BC_2, IO_PAD265, output3, X, 1524, 1, PULL1)," & --  PAD265
	"1526 (BC_2, IO_PAD265, input, X)," & --  PAD265
	"1527 (BC_2, *, controlr, 1)," &
	"1528 (BC_2, IO_PAD264, output3, X, 1527, 1, PULL1)," & --  PAD264
	"1529 (BC_2, IO_PAD264, input, X)," & --  PAD264
	"1530 (BC_2, *, controlr, 1)," &
	"1531 (BC_2, IO_PAD263, output3, X, 1530, 1, PULL1)," & --  PAD263
	"1532 (BC_2, IO_PAD263, input, X)," & --  PAD263
	"1533 (BC_2, *, controlr, 1)," &
	"1534 (BC_2, IO_PAD262, output3, X, 1533, 1, PULL1)," & --  PAD262
	"1535 (BC_2, IO_PAD262, input, X)," & --  PAD262
	"1536 (BC_2, *, controlr, 1)," &
	"1537 (BC_2, IO_PAD261, output3, X, 1536, 1, PULL1)," & --  PAD261
	"1538 (BC_2, IO_PAD261, input, X)," & --  PAD261
	"1539 (BC_2, *, controlr, 1)," &
	"1540 (BC_2, IO_PAD260, output3, X, 1539, 1, PULL1)," & --  PAD260
	"1541 (BC_2, IO_PAD260, input, X)," & --  PAD260
	"1542 (BC_2, *, controlr, 1)," &
	"1543 (BC_2, IO_PAD259, output3, X, 1542, 1, PULL1)," & --  PAD259
	"1544 (BC_2, IO_PAD259, input, X)," & --  PAD259
	"1545 (BC_2, *, controlr, 1)," &
	"1546 (BC_2, IO_PAD258, output3, X, 1545, 1, PULL1)," & --  PAD258
	"1547 (BC_2, IO_PAD258, input, X)," & --  PAD258
	"1548 (BC_2, *, controlr, 1)," &
	"1549 (BC_2, IO_PAD257, output3, X, 1548, 1, PULL1)," & --  PAD257
	"1550 (BC_2, IO_PAD257, input, X)," & --  PAD257
	"1551 (BC_2, *, controlr, 1)," &
	"1552 (BC_2, IO_PAD256, output3, X, 1551, 1, PULL1)," & --  PAD256
	"1553 (BC_2, IO_PAD256, input, X)," & --  PAD256
	"1554 (BC_2, *, controlr, 1)," &
	"1555 (BC_2, IO_PAD255, output3, X, 1554, 1, PULL1)," & --  PAD255
	"1556 (BC_2, IO_PAD255, input, X)," & --  PAD255
	"1557 (BC_2, *, controlr, 1)," &
	"1558 (BC_2, IO_PAD254, output3, X, 1557, 1, PULL1)," & --  PAD254
	"1559 (BC_2, IO_PAD254, input, X)," & --  PAD254
	"1560 (BC_2, *, controlr, 1)," &
	"1561 (BC_2, IO_PAD253, output3, X, 1560, 1, PULL1)," & --  PAD253
	"1562 (BC_2, IO_PAD253, input, X)," & --  PAD253
	"1563 (BC_2, *, controlr, 1)," &
	"1564 (BC_2, IO_PAD252, output3, X, 1563, 1, PULL1)," & --  PAD252
	"1565 (BC_2, IO_PAD252, input, X)," & --  PAD252
	"1566 (BC_2, *, controlr, 1)," &
	"1567 (BC_2, IO_PAD251, output3, X, 1566, 1, PULL1)," & --  PAD251
	"1568 (BC_2, IO_PAD251, input, X)," & --  PAD251
	"1569 (BC_2, *, controlr, 1)," &
	"1570 (BC_2, IO_PAD250, output3, X, 1569, 1, PULL1)," & --  PAD250
	"1571 (BC_2, IO_PAD250, input, X)," & --  PAD250
	"1572 (BC_2, *, controlr, 1)," &
	"1573 (BC_2, IO_PAD249, output3, X, 1572, 1, PULL1)," & --  PAD249
	"1574 (BC_2, IO_PAD249, input, X)," & --  PAD249
	"1575 (BC_2, *, controlr, 1)," &
	"1576 (BC_2, IO_PAD248, output3, X, 1575, 1, PULL1)," & --  PAD248
	"1577 (BC_2, IO_PAD248, input, X)," & --  PAD248
	"1578 (BC_2, *, controlr, 1)," &
	"1579 (BC_2, IO_PAD247, output3, X, 1578, 1, PULL1)," & --  PAD247
	"1580 (BC_2, IO_PAD247, input, X)," & --  PAD247
	"1581 (BC_2, *, controlr, 1)," &
	"1582 (BC_2, IO_PAD246, output3, X, 1581, 1, PULL1)," & --  PAD246
	"1583 (BC_2, IO_PAD246, input, X)," & --  PAD246
	"1584 (BC_2, *, controlr, 1)," &
	"1585 (BC_2, IO_PAD245, output3, X, 1584, 1, PULL1)," & --  PAD245
	"1586 (BC_2, IO_PAD245, input, X)," & --  PAD245
	"1587 (BC_2, *, controlr, 1)," &
	"1588 (BC_2, IO_PAD244, output3, X, 1587, 1, PULL1)," & --  PAD244
	"1589 (BC_2, IO_PAD244, input, X)," & --  PAD244
	"1590 (BC_2, *, controlr, 1)," &
	"1591 (BC_2, IO_PAD243, output3, X, 1590, 1, PULL1)," & --  PAD243
	"1592 (BC_2, IO_PAD243, input, X)," & --  PAD243
	"1593 (BC_2, *, controlr, 1)," &
	"1594 (BC_2, IO_PAD242, output3, X, 1593, 1, PULL1)," & --  PAD242
	"1595 (BC_2, IO_PAD242, input, X)," & --  PAD242
	"1596 (BC_2, *, controlr, 1)," &
	"1597 (BC_2, IO_PAD241, output3, X, 1596, 1, PULL1)," & --  PAD241
	"1598 (BC_2, IO_PAD241, input, X)," & --  PAD241
	"1599 (BC_2, *, controlr, 1)," &
	"1600 (BC_2, IO_PAD240, output3, X, 1599, 1, PULL1)," & --  PAD240
	"1601 (BC_2, IO_PAD240, input, X)," & --  PAD240
	"1602 (BC_2, *, controlr, 1)," &
	"1603 (BC_2, IO_PAD239, output3, X, 1602, 1, PULL1)," & --  PAD239
	"1604 (BC_2, IO_PAD239, input, X)," & --  PAD239
	"1605 (BC_2, *, controlr, 1)," &
	"1606 (BC_2, IO_PAD238, output3, X, 1605, 1, PULL1)," & --  PAD238
	"1607 (BC_2, IO_PAD238, input, X)," & --  PAD238
	"1608 (BC_2, *, controlr, 1)," &
	"1609 (BC_2, IO_PAD237, output3, X, 1608, 1, PULL1)," & --  PAD237
	"1610 (BC_2, IO_PAD237, input, X)," & --  PAD237
	"1611 (BC_2, *, controlr, 1)," &
	"1612 (BC_2, IO_PAD236, output3, X, 1611, 1, PULL1)," & --  PAD236
	"1613 (BC_2, IO_PAD236, input, X)," & --  PAD236
	"1614 (BC_2, *, controlr, 1)," &
	"1615 (BC_2, IO_PAD235, output3, X, 1614, 1, PULL1)," & --  PAD235
	"1616 (BC_2, IO_PAD235, input, X)," & --  PAD235
	"1617 (BC_2, *, controlr, 1)," &
	"1618 (BC_2, IO_PAD234, output3, X, 1617, 1, PULL1)," & --  PAD234
	"1619 (BC_2, IO_PAD234, input, X)," & --  PAD234
	"1620 (BC_2, *, controlr, 1)," &
	"1621 (BC_2, IO_PAD233, output3, X, 1620, 1, PULL1)," & --  PAD233
	"1622 (BC_2, IO_PAD233, input, X)," & --  PAD233
	"1623 (BC_2, *, controlr, 1)," &
	"1624 (BC_2, IO_PAD232, output3, X, 1623, 1, PULL1)," & --  PAD232
	"1625 (BC_2, IO_PAD232, input, X)," & --  PAD232
	"1626 (BC_2, *, controlr, 1)," &
	"1627 (BC_2, IO_PAD231, output3, X, 1626, 1, PULL1)," & --  PAD231
	"1628 (BC_2, IO_PAD231, input, X)," & --  PAD231
	"1629 (BC_2, *, controlr, 1)," &
	"1630 (BC_2, IO_PAD230, output3, X, 1629, 1, PULL1)," & --  PAD230
	"1631 (BC_2, IO_PAD230, input, X)," & --  PAD230
	"1632 (BC_2, *, controlr, 1)," &
	"1633 (BC_2, IO_PAD229, output3, X, 1632, 1, PULL1)," & --  PAD229
	"1634 (BC_2, IO_PAD229, input, X)," & --  PAD229
	"1635 (BC_2, *, controlr, 1)," &
	"1636 (BC_2, IO_PAD228, output3, X, 1635, 1, PULL1)," & --  PAD228
	"1637 (BC_2, IO_PAD228, input, X)," & --  PAD228
	"1638 (BC_2, *, controlr, 1)," &
	"1639 (BC_2, IO_PAD227, output3, X, 1638, 1, PULL1)," & --  PAD227
	"1640 (BC_2, IO_PAD227, input, X)," & --  PAD227
	"1641 (BC_2, *, controlr, 1)," &
	"1642 (BC_2, IO_PAD226, output3, X, 1641, 1, PULL1)," & --  PAD226
	"1643 (BC_2, IO_PAD226, input, X)," & --  PAD226
	"1644 (BC_2, *, controlr, 1)," &
	"1645 (BC_2, IO_PAD225, output3, X, 1644, 1, PULL1)," & --  PAD225
	"1646 (BC_2, IO_PAD225, input, X)," & --  PAD225
	"1647 (BC_2, *, controlr, 1)," &
	"1648 (BC_2, IO_PAD224, output3, X, 1647, 1, PULL1)," & --  PAD224
	"1649 (BC_2, IO_PAD224, input, X)," & --  PAD224
	"1650 (BC_2, *, controlr, 1)," &
	"1651 (BC_2, IO_PAD223, output3, X, 1650, 1, PULL1)," & --  PAD223
	"1652 (BC_2, IO_PAD223, input, X)," & --  PAD223
	"1653 (BC_2, *, controlr, 1)," &
	"1654 (BC_2, IO_PAD222, output3, X, 1653, 1, PULL1)," & --  PAD222
	"1655 (BC_2, IO_PAD222, input, X)," & --  PAD222
	"1656 (BC_2, *, controlr, 1)," &
	"1657 (BC_2, IO_PAD221, output3, X, 1656, 1, PULL1)," & --  PAD221
	"1658 (BC_2, IO_PAD221, input, X)," & --  PAD221
	"1659 (BC_2, *, controlr, 1)," &
	"1660 (BC_2, IO_PAD220, output3, X, 1659, 1, PULL1)," & --  PAD220
	"1661 (BC_2, IO_PAD220, input, X)," & --  PAD220
	"1662 (BC_2, *, controlr, 1)," &
	"1663 (BC_2, IO_PAD219, output3, X, 1662, 1, PULL1)," & --  PAD219
	"1664 (BC_2, IO_PAD219, input, X)," & --  PAD219
	"1665 (BC_2, *, controlr, 1)," &
	"1666 (BC_2, IO_PAD218, output3, X, 1665, 1, PULL1)," & --  PAD218
	"1667 (BC_2, IO_PAD218, input, X)," & --  PAD218
	"1668 (BC_2, *, controlr, 1)," &
	"1669 (BC_2, IO_PAD217, output3, X, 1668, 1, PULL1)," & --  PAD217
	"1670 (BC_2, IO_PAD217, input, X)," & --  PAD217
	"1671 (BC_2, *, controlr, 1)," &
	"1672 (BC_2, IO_PAD216, output3, X, 1671, 1, PULL1)," & --  PAD216
	"1673 (BC_2, IO_PAD216, input, X)," & --  PAD216
	"1674 (BC_2, *, controlr, 1)," &
	"1675 (BC_2, IO_PAD215, output3, X, 1674, 1, PULL1)," & --  PAD215
	"1676 (BC_2, IO_PAD215, input, X)," & --  PAD215
	"1677 (BC_2, *, controlr, 1)," &
	"1678 (BC_2, IO_PAD214, output3, X, 1677, 1, PULL1)," & --  PAD214
	"1679 (BC_2, IO_PAD214, input, X)," & --  PAD214
	"1680 (BC_2, *, controlr, 1)," &
	"1681 (BC_2, IO_PAD213, output3, X, 1680, 1, PULL1)," & --  PAD213
	"1682 (BC_2, IO_PAD213, input, X)," & --  PAD213
	"1683 (BC_2, *, controlr, 1)," &
	"1684 (BC_2, IO_PAD212, output3, X, 1683, 1, PULL1)," & --  PAD212
	"1685 (BC_2, IO_PAD212, input, X)," & --  PAD212
	"1686 (BC_2, *, controlr, 1)," &
	"1687 (BC_2, IO_PAD211, output3, X, 1686, 1, PULL1)," & --  PAD211
	"1688 (BC_2, IO_PAD211, input, X)," & --  PAD211
	"1689 (BC_2, *, controlr, 1)," &
	"1690 (BC_2, IO_PAD210, output3, X, 1689, 1, PULL1)," & --  PAD210
	"1691 (BC_2, IO_PAD210, input, X)," & --  PAD210
	"1692 (BC_2, *, controlr, 1)," &
	"1693 (BC_2, IO_PAD209, output3, X, 1692, 1, PULL1)," & --  PAD209
	"1694 (BC_2, IO_PAD209, input, X)," & --  PAD209
	"1695 (BC_2, *, controlr, 1)," &
	"1696 (BC_2, IO_PAD208, output3, X, 1695, 1, PULL1)," & --  PAD208
	"1697 (BC_2, IO_PAD208, input, X)," & --  PAD208
	"1698 (BC_2, *, controlr, 1)," &
	"1699 (BC_2, IO_PAD207, output3, X, 1698, 1, PULL1)," & --  PAD207
	"1700 (BC_2, IO_PAD207, input, X)," & --  PAD207
	"1701 (BC_2, *, controlr, 1)," &
	"1702 (BC_2, IO_PAD206, output3, X, 1701, 1, PULL1)," & --  PAD206
	"1703 (BC_2, IO_PAD206, input, X)," & --  PAD206
	"1704 (BC_2, *, controlr, 1)," &
	"1705 (BC_2, IO_PAD205, output3, X, 1704, 1, PULL1)," & --  PAD205
	"1706 (BC_2, IO_PAD205, input, X)," & --  PAD205
	"1707 (BC_2, *, controlr, 1)," &
	"1708 (BC_2, IO_PAD204, output3, X, 1707, 1, PULL1)," & --  PAD204
	"1709 (BC_2, IO_PAD204, input, X)," & --  PAD204
	"1710 (BC_2, *, controlr, 1)," &
	"1711 (BC_2, IO_PAD203, output3, X, 1710, 1, PULL1)," & --  PAD203
	"1712 (BC_2, IO_PAD203, input, X)," & --  PAD203
	"1713 (BC_2, *, controlr, 1)," &
	"1714 (BC_2, IO_PAD202, output3, X, 1713, 1, PULL1)," & --  PAD202
	"1715 (BC_2, IO_PAD202, input, X)," & --  PAD202
	"1716 (BC_2, *, controlr, 1)," &
	"1717 (BC_2, IO_PAD201, output3, X, 1716, 1, PULL1)," & --  PAD201
	"1718 (BC_2, IO_PAD201, input, X)," & --  PAD201
	"1719 (BC_2, *, controlr, 1)," &
	"1720 (BC_2, IO_PAD200, output3, X, 1719, 1, PULL1)," & --  PAD200
	"1721 (BC_2, IO_PAD200, input, X)," & --  PAD200
	"1722 (BC_2, *, controlr, 1)," &
	"1723 (BC_2, IO_PAD199, output3, X, 1722, 1, PULL1)," & --  PAD199
	"1724 (BC_2, IO_PAD199, input, X)," & --  PAD199
	"1725 (BC_2, *, controlr, 1)," &
	"1726 (BC_2, IO_PAD198, output3, X, 1725, 1, PULL1)," & --  PAD198
	"1727 (BC_2, IO_PAD198, input, X)," & --  PAD198
	"1728 (BC_2, *, controlr, 1)," &
	"1729 (BC_2, IO_PAD197, output3, X, 1728, 1, PULL1)," & --  PAD197
	"1730 (BC_2, IO_PAD197, input, X)," & --  PAD197
	"1731 (BC_2, *, controlr, 1)," &
	"1732 (BC_2, IO_PAD196, output3, X, 1731, 1, PULL1)," & --  PAD196
	"1733 (BC_2, IO_PAD196, input, X)," & --  PAD196
	"1734 (BC_2, *, controlr, 1)," &
	"1735 (BC_2, IO_PAD195, output3, X, 1734, 1, PULL1)," & --  PAD195
	"1736 (BC_2, IO_PAD195, input, X)," & --  PAD195
	"1737 (BC_2, *, controlr, 1)," &
	"1738 (BC_2, IO_PAD194, output3, X, 1737, 1, PULL1)," & --  PAD194
	"1739 (BC_2, IO_PAD194, input, X)," & --  PAD194
	"1740 (BC_2, *, controlr, 1)," &
	"1741 (BC_2, IO_PAD193, output3, X, 1740, 1, PULL1)," & --  PAD193
	"1742 (BC_2, IO_PAD193, input, X)," & --  PAD193
	"1743 (BC_2, *, controlr, 1)," &
	"1744 (BC_2, IO_PAD192, output3, X, 1743, 1, PULL1)," & --  PAD192
	"1745 (BC_2, IO_PAD192, input, X)," & --  PAD192
	"1746 (BC_2, *, controlr, 1)," &
	"1747 (BC_2, IO_PAD191, output3, X, 1746, 1, PULL1)," & --  PAD191
	"1748 (BC_2, IO_PAD191, input, X)," & --  PAD191
	"1749 (BC_2, *, controlr, 1)," &
	"1750 (BC_2, IO_PAD190, output3, X, 1749, 1, PULL1)," & --  PAD190
	"1751 (BC_2, IO_PAD190, input, X)," & --  PAD190
	"1752 (BC_2, *, controlr, 1)," &
	"1753 (BC_2, IO_PAD189, output3, X, 1752, 1, PULL1)," & --  PAD189
	"1754 (BC_2, IO_PAD189, input, X)," & --  PAD189
	"1755 (BC_2, *, controlr, 1)," &
	"1756 (BC_2, IO_PAD188, output3, X, 1755, 1, PULL1)," & --  PAD188
	"1757 (BC_2, IO_PAD188, input, X)," & --  PAD188
	"1758 (BC_2, *, controlr, 1)," &
	"1759 (BC_2, IO_PAD187, output3, X, 1758, 1, PULL1)," & --  PAD187
	"1760 (BC_2, IO_PAD187, input, X)," & --  PAD187
	"1761 (BC_2, *, controlr, 1)," &
	"1762 (BC_2, IO_PAD186, output3, X, 1761, 1, PULL1)," & --  PAD186
	"1763 (BC_2, IO_PAD186, input, X)," & --  PAD186
	"1764 (BC_2, *, controlr, 1)," &
	"1765 (BC_2, IO_PAD185, output3, X, 1764, 1, PULL1)," & --  PAD185
	"1766 (BC_2, IO_PAD185, input, X)," & --  PAD185
	"1767 (BC_2, *, controlr, 1)," &
	"1768 (BC_2, IO_PAD184, output3, X, 1767, 1, PULL1)," & --  PAD184
	"1769 (BC_2, IO_PAD184, input, X)," & --  PAD184
	"1770 (BC_2, *, controlr, 1)," &
	"1771 (BC_2, IO_PAD183, output3, X, 1770, 1, PULL1)," & --  PAD183
	"1772 (BC_2, IO_PAD183, input, X)," & --  PAD183
	"1773 (BC_2, *, controlr, 1)," &
	"1774 (BC_2, IO_PAD182, output3, X, 1773, 1, PULL1)," & --  PAD182
	"1775 (BC_2, IO_PAD182, input, X)," & --  PAD182
	"1776 (BC_2, *, controlr, 1)," &
	"1777 (BC_2, IO_PAD181, output3, X, 1776, 1, PULL1)," & --  PAD181
	"1778 (BC_2, IO_PAD181, input, X)," & --  PAD181
	"1779 (BC_2, *, controlr, 1)," &
	"1780 (BC_2, IO_PAD180, output3, X, 1779, 1, PULL1)," & --  PAD180
	"1781 (BC_2, IO_PAD180, input, X)," & --  PAD180
	"1782 (BC_2, *, controlr, 1)," &
	"1783 (BC_2, IO_PAD179, output3, X, 1782, 1, PULL1)," & --  PAD179
	"1784 (BC_2, IO_PAD179, input, X)," & --  PAD179
	"1785 (BC_2, *, controlr, 1)," &
	"1786 (BC_2, IO_PAD178, output3, X, 1785, 1, PULL1)," & --  PAD178
	"1787 (BC_2, IO_PAD178, input, X)," & --  PAD178
	"1788 (BC_2, *, controlr, 1)," &
	"1789 (BC_2, IO_PAD177, output3, X, 1788, 1, PULL1)," & --  PAD177
	"1790 (BC_2, IO_PAD177, input, X)," & --  PAD177
	"1791 (BC_2, *, controlr, 1)," &
	"1792 (BC_2, IO_PAD176, output3, X, 1791, 1, PULL1)," & --  PAD176
	"1793 (BC_2, IO_PAD176, input, X)," & --  PAD176
	"1794 (BC_2, *, controlr, 1)," &
	"1795 (BC_2, IO_PAD175, output3, X, 1794, 1, PULL1)," & --  PAD175
	"1796 (BC_2, IO_PAD175, input, X)," & --  PAD175
	"1797 (BC_2, *, controlr, 1)," &
	"1798 (BC_2, IO_PAD174, output3, X, 1797, 1, PULL1)," & --  PAD174
	"1799 (BC_2, IO_PAD174, input, X)," & --  PAD174
	"1800 (BC_2, *, controlr, 1)," &
	"1801 (BC_2, IO_PAD173, output3, X, 1800, 1, PULL1)," & --  PAD173
	"1802 (BC_2, IO_PAD173, input, X)," & --  PAD173
	"1803 (BC_2, *, controlr, 1)," &
	"1804 (BC_2, IO_PAD172, output3, X, 1803, 1, PULL1)," & --  PAD172
	"1805 (BC_2, IO_PAD172, input, X)," & --  PAD172
	"1806 (BC_2, *, controlr, 1)," &
	"1807 (BC_2, IO_PAD171, output3, X, 1806, 1, PULL1)," & --  PAD171
	"1808 (BC_2, IO_PAD171, input, X)," & --  PAD171
	"1809 (BC_2, *, controlr, 1)," &
	"1810 (BC_2, IO_PAD170, output3, X, 1809, 1, PULL1)," & --  PAD170
	"1811 (BC_2, IO_PAD170, input, X)," & --  PAD170
	"1812 (BC_2, *, controlr, 1)," &
	"1813 (BC_2, IO_PAD169, output3, X, 1812, 1, PULL1)," & --  PAD169
	"1814 (BC_2, IO_PAD169, input, X)," & --  PAD169
	"1815 (BC_2, *, controlr, 1)," &
	"1816 (BC_2, IO_PAD168, output3, X, 1815, 1, PULL1)," & --  PAD168
	"1817 (BC_2, IO_PAD168, input, X)," & --  PAD168
	"1818 (BC_2, *, controlr, 1)," &
	"1819 (BC_2, IO_PAD167, output3, X, 1818, 1, PULL1)," & --  PAD167
	"1820 (BC_2, IO_PAD167, input, X)," & --  PAD167
	"1821 (BC_2, *, controlr, 1)," &
	"1822 (BC_2, IO_PAD166, output3, X, 1821, 1, PULL1)," & --  PAD166
	"1823 (BC_2, IO_PAD166, input, X)," & --  PAD166
	"1824 (BC_2, *, controlr, 1)," &
	"1825 (BC_2, IO_PAD165, output3, X, 1824, 1, PULL1)," & --  PAD165
	"1826 (BC_2, IO_PAD165, input, X)," & --  PAD165
	"1827 (BC_2, *, controlr, 1)," &
	"1828 (BC_2, IO_PAD164, output3, X, 1827, 1, PULL1)," & --  PAD164
	"1829 (BC_2, IO_PAD164, input, X)," & --  PAD164
	"1830 (BC_2, *, controlr, 1)," &
	"1831 (BC_2, IO_PAD163, output3, X, 1830, 1, PULL1)," & --  PAD163
	"1832 (BC_2, IO_PAD163, input, X)," & --  PAD163
	"1833 (BC_2, *, controlr, 1)," &
	"1834 (BC_2, IO_PAD162, output3, X, 1833, 1, PULL1)," & --  PAD162
	"1835 (BC_2, IO_PAD162, input, X)," & --  PAD162
	"1836 (BC_2, *, controlr, 1)," &
	"1837 (BC_2, IO_PAD161, output3, X, 1836, 1, PULL1)," & --  PAD161
	"1838 (BC_2, IO_PAD161, input, X)," & --  PAD161
	"1839 (BC_2, *, controlr, 1)," &
	"1840 (BC_2, IO_PAD160, output3, X, 1839, 1, PULL1)," & --  PAD160
	"1841 (BC_2, IO_PAD160, input, X)," & --  PAD160
	"1842 (BC_2, *, controlr, 1)," &
	"1843 (BC_2, IO_PAD159, output3, X, 1842, 1, PULL1)," & --  PAD159
	"1844 (BC_2, IO_PAD159, input, X)," & --  PAD159
	"1845 (BC_2, *, controlr, 1)," &
	"1846 (BC_2, IO_PAD158, output3, X, 1845, 1, PULL1)," & --  PAD158
	"1847 (BC_2, IO_PAD158, input, X)," & --  PAD158
	"1848 (BC_2, *, controlr, 1)," &
	"1849 (BC_2, IO_PAD157, output3, X, 1848, 1, PULL1)," & --  PAD157
	"1850 (BC_2, IO_PAD157, input, X)," & --  PAD157
	"1851 (BC_2, *, controlr, 1)," &
	"1852 (BC_2, IO_PAD156, output3, X, 1851, 1, PULL1)," & --  PAD156
	"1853 (BC_2, IO_PAD156, input, X)," & --  PAD156
	"1854 (BC_2, *, controlr, 1)," &
	"1855 (BC_2, IO_PAD155, output3, X, 1854, 1, PULL1)," & --  PAD155
	"1856 (BC_2, IO_PAD155, input, X)," & --  PAD155
	"1857 (BC_2, *, controlr, 1)," &
	"1858 (BC_2, IO_PAD154, output3, X, 1857, 1, PULL1)," & --  PAD154
	"1859 (BC_2, IO_PAD154, input, X)," & --  PAD154
	"1860 (BC_2, *, controlr, 1)," &
	"1861 (BC_2, IO_PAD153, output3, X, 1860, 1, PULL1)," & --  PAD153
	"1862 (BC_2, IO_PAD153, input, X)," & --  PAD153
	"1863 (BC_2, *, controlr, 1)," &
	"1864 (BC_2, IO_PAD152, output3, X, 1863, 1, PULL1)," & --  PAD152
	"1865 (BC_2, IO_PAD152, input, X)," & --  PAD152
	"1866 (BC_2, *, controlr, 1)," &
	"1867 (BC_2, IO_PAD151, output3, X, 1866, 1, PULL1)," & --  PAD151
	"1868 (BC_2, IO_PAD151, input, X)," & --  PAD151
	"1869 (BC_2, *, controlr, 1)," &
	"1870 (BC_2, IO_PAD150, output3, X, 1869, 1, PULL1)," & --  PAD150
	"1871 (BC_2, IO_PAD150, input, X)," & --  PAD150
	"1872 (BC_2, *, controlr, 1)," &
	"1873 (BC_2, IO_PAD149, output3, X, 1872, 1, PULL1)," & --  PAD149
	"1874 (BC_2, IO_PAD149, input, X)," & --  PAD149
	"1875 (BC_2, *, controlr, 1)," &
	"1876 (BC_2, IO_PAD148, output3, X, 1875, 1, PULL1)," & --  PAD148
	"1877 (BC_2, IO_PAD148, input, X)," & --  PAD148
	"1878 (BC_2, *, controlr, 1)," &
	"1879 (BC_2, IO_PAD147, output3, X, 1878, 1, PULL1)," & --  PAD147
	"1880 (BC_2, IO_PAD147, input, X)," & --  PAD147
	"1881 (BC_2, *, controlr, 1)," &
	"1882 (BC_2, IO_PAD146, output3, X, 1881, 1, PULL1)," & --  PAD146
	"1883 (BC_2, IO_PAD146, input, X)," & --  PAD146
	"1884 (BC_2, *, controlr, 1)," &
	"1885 (BC_2, IO_PAD145, output3, X, 1884, 1, PULL1)," & --  PAD145
	"1886 (BC_2, IO_PAD145, input, X)," & --  PAD145
	"1887 (BC_2, *, controlr, 1)," &
	"1888 (BC_2, IO_PAD144, output3, X, 1887, 1, PULL1)," & --  PAD144
	"1889 (BC_2, IO_PAD144, input, X)," & --  PAD144
	"1890 (BC_2, *, controlr, 1)," &
	"1891 (BC_2, IO_PAD143, output3, X, 1890, 1, PULL1)," & --  PAD143
	"1892 (BC_2, IO_PAD143, input, X)," & --  PAD143
	"1893 (BC_2, *, controlr, 1)," &
	"1894 (BC_2, IO_PAD142, output3, X, 1893, 1, PULL1)," & --  PAD142
	"1895 (BC_2, IO_PAD142, input, X)," & --  PAD142
	"1896 (BC_2, *, controlr, 1)," &
	"1897 (BC_2, IO_PAD141, output3, X, 1896, 1, PULL1)," & --  PAD141
	"1898 (BC_2, IO_PAD141, input, X)," & --  PAD141
	"1899 (BC_2, *, controlr, 1)," &
	"1900 (BC_2, IO_PAD140, output3, X, 1899, 1, PULL1)," & --  PAD140
	"1901 (BC_2, IO_PAD140, input, X)," & --  PAD140
	"1902 (BC_2, *, controlr, 1)," &
	"1903 (BC_2, IO_PAD139, output3, X, 1902, 1, PULL1)," & --  PAD139
	"1904 (BC_2, IO_PAD139, input, X)," & --  PAD139
	"1905 (BC_2, *, controlr, 1)," &
	"1906 (BC_2, IO_PAD138, output3, X, 1905, 1, PULL1)," & --  PAD138
	"1907 (BC_2, IO_PAD138, input, X)," & --  PAD138
	"1908 (BC_2, *, controlr, 1)," &
	"1909 (BC_2, IO_PAD137, output3, X, 1908, 1, PULL1)," & --  PAD137
	"1910 (BC_2, IO_PAD137, input, X)," & --  PAD137
	"1911 (BC_2, *, controlr, 1)," &
	"1912 (BC_2, IO_PAD136, output3, X, 1911, 1, PULL1)," & --  PAD136
	"1913 (BC_2, IO_PAD136, input, X)," & --  PAD136
	"1914 (BC_2, *, controlr, 1)," &
	"1915 (BC_2, IO_PAD135, output3, X, 1914, 1, PULL1)," & --  PAD135
	"1916 (BC_2, IO_PAD135, input, X)," & --  PAD135
	"1917 (BC_2, *, controlr, 1)," &
	"1918 (BC_2, IO_PAD134, output3, X, 1917, 1, PULL1)," & --  PAD134
	"1919 (BC_2, IO_PAD134, input, X)," & --  PAD134
	"1920 (BC_2, *, controlr, 1)," &
	"1921 (BC_2, IO_PAD133, output3, X, 1920, 1, PULL1)," & --  PAD133
	"1922 (BC_2, IO_PAD133, input, X)," & --  PAD133
	"1923 (BC_2, *, controlr, 1)," &
	"1924 (BC_2, IO_PAD132, output3, X, 1923, 1, PULL1)," & --  PAD132
	"1925 (BC_2, IO_PAD132, input, X)," & --  PAD132
	"1926 (BC_2, *, controlr, 1)," &
	"1927 (BC_2, IO_PAD131, output3, X, 1926, 1, PULL1)," & --  PAD131
	"1928 (BC_2, IO_PAD131, input, X)," & --  PAD131
	"1929 (BC_2, *, controlr, 1)," &
	"1930 (BC_2, IO_PAD130, output3, X, 1929, 1, PULL1)," & --  PAD130
	"1931 (BC_2, IO_PAD130, input, X)," & --  PAD130
	"1932 (BC_2, *, controlr, 1)," &
	"1933 (BC_2, IO_PAD129, output3, X, 1932, 1, PULL1)," & --  PAD129
	"1934 (BC_2, IO_PAD129, input, X)," & --  PAD129
	"1935 (BC_2, *, controlr, 1)," &
	"1936 (BC_2, IO_PAD128, output3, X, 1935, 1, PULL1)," & --  PAD128
	"1937 (BC_2, IO_PAD128, input, X)," & --  PAD128
	"1938 (BC_2, *, controlr, 1)," &
	"1939 (BC_2, IO_PAD127, output3, X, 1938, 1, PULL1)," & --  PAD127
	"1940 (BC_2, IO_PAD127, input, X)," & --  PAD127
	"1941 (BC_2, *, controlr, 1)," &
	"1942 (BC_2, IO_PAD126, output3, X, 1941, 1, PULL1)," & --  PAD126
	"1943 (BC_2, IO_PAD126, input, X)," & --  PAD126
	"1944 (BC_2, *, controlr, 1)," &
	"1945 (BC_2, IO_PAD125, output3, X, 1944, 1, PULL1)," & --  PAD125
	"1946 (BC_2, IO_PAD125, input, X)," & --  PAD125
	"1947 (BC_2, *, controlr, 1)," &
	"1948 (BC_2, IO_PAD124, output3, X, 1947, 1, PULL1)," & --  PAD124
	"1949 (BC_2, IO_PAD124, input, X)," & --  PAD124
	"1950 (BC_2, *, controlr, 1)," &
	"1951 (BC_2, IO_PAD123, output3, X, 1950, 1, PULL1)," & --  PAD123
	"1952 (BC_2, IO_PAD123, input, X)," & --  PAD123
	"1953 (BC_2, *, controlr, 1)," &
	"1954 (BC_2, IO_PAD122, output3, X, 1953, 1, PULL1)," & --  PAD122
	"1955 (BC_2, IO_PAD122, input, X)," & --  PAD122
	"1956 (BC_2, *, controlr, 1)," &
	"1957 (BC_2, IO_PAD121, output3, X, 1956, 1, PULL1)," & --  PAD121
	"1958 (BC_2, IO_PAD121, input, X)," & --  PAD121
	"1959 (BC_2, *, controlr, 1)," &
	"1960 (BC_2, IO_PAD120, output3, X, 1959, 1, PULL1)," & --  PAD120
	"1961 (BC_2, IO_PAD120, input, X)," & --  PAD120
	"1962 (BC_2, *, controlr, 1)," &
	"1963 (BC_2, IO_PAD119, output3, X, 1962, 1, PULL1)," & --  PAD119
	"1964 (BC_2, IO_PAD119, input, X)," & --  PAD119
	"1965 (BC_2, *, controlr, 1)," &
	"1966 (BC_2, IO_PAD118, output3, X, 1965, 1, PULL1)," & --  PAD118
	"1967 (BC_2, IO_PAD118, input, X)," & --  PAD118
	"1968 (BC_2, *, controlr, 1)," &
	"1969 (BC_2, IO_PAD117, output3, X, 1968, 1, PULL1)," & --  PAD117
	"1970 (BC_2, IO_PAD117, input, X)," & --  PAD117
	"1971 (BC_2, *, controlr, 1)," &
	"1972 (BC_2, IO_PAD116, output3, X, 1971, 1, PULL1)," & --  PAD116
	"1973 (BC_2, IO_PAD116, input, X)," & --  PAD116
	"1974 (BC_2, *, controlr, 1)," &
	"1975 (BC_2, IO_PAD115, output3, X, 1974, 1, PULL1)," & --  PAD115
	"1976 (BC_2, IO_PAD115, input, X)," & --  PAD115
	"1977 (BC_2, *, controlr, 1)," &
	"1978 (BC_2, IO_PAD114, output3, X, 1977, 1, PULL1)," & --  PAD114
	"1979 (BC_2, IO_PAD114, input, X)," & --  PAD114
	"1980 (BC_2, *, controlr, 1)," &
	"1981 (BC_2, IO_PAD113, output3, X, 1980, 1, PULL1)," & --  PAD113
	"1982 (BC_2, IO_PAD113, input, X)," & --  PAD113
	"1983 (BC_2, *, controlr, 1)," &
	"1984 (BC_2, IO_PAD112, output3, X, 1983, 1, PULL1)," & --  PAD112
	"1985 (BC_2, IO_PAD112, input, X)," & --  PAD112
	"1986 (BC_2, *, controlr, 1)," &
	"1987 (BC_2, IO_PAD111, output3, X, 1986, 1, PULL1)," & --  PAD111
	"1988 (BC_2, IO_PAD111, input, X)," & --  PAD111
	"1989 (BC_2, *, controlr, 1)," &
	"1990 (BC_2, IO_PAD110, output3, X, 1989, 1, PULL1)," & --  PAD110
	"1991 (BC_2, IO_PAD110, input, X)," & --  PAD110
	"1992 (BC_2, *, controlr, 1)," &
	"1993 (BC_2, IO_PAD109, output3, X, 1992, 1, PULL1)," & --  PAD109
	"1994 (BC_2, IO_PAD109, input, X)," & --  PAD109
	"1995 (BC_2, *, controlr, 1)," &
	"1996 (BC_2, IO_PAD108, output3, X, 1995, 1, PULL1)," & --  PAD108
	"1997 (BC_2, IO_PAD108, input, X)," & --  PAD108
	"1998 (BC_2, *, controlr, 1)," &
	"1999 (BC_2, IO_PAD107, output3, X, 1998, 1, PULL1)," & --  PAD107
	"2000 (BC_2, IO_PAD107, input, X)," & --  PAD107
	"2001 (BC_2, *, controlr, 1)," &
	"2002 (BC_2, IO_PAD106, output3, X, 2001, 1, PULL1)," & --  PAD106
	"2003 (BC_2, IO_PAD106, input, X)," & --  PAD106
	"2004 (BC_2, *, controlr, 1)," &
	"2005 (BC_2, IO_PAD105, output3, X, 2004, 1, PULL1)," & --  PAD105
	"2006 (BC_2, IO_PAD105, input, X)," & --  PAD105
	"2007 (BC_2, *, controlr, 1)," &
	"2008 (BC_2, IO_PAD104, output3, X, 2007, 1, PULL1)," & --  PAD104
	"2009 (BC_2, IO_PAD104, input, X)," & --  PAD104
	"2010 (BC_2, *, controlr, 1)," &
	"2011 (BC_2, IO_PAD103, output3, X, 2010, 1, PULL1)," & --  PAD103
	"2012 (BC_2, IO_PAD103, input, X)," & --  PAD103
	"2013 (BC_2, *, controlr, 1)," &
	"2014 (BC_2, IO_PAD102, output3, X, 2013, 1, PULL1)," & --  PAD102
	"2015 (BC_2, IO_PAD102, input, X)," & --  PAD102
	"2016 (BC_2, *, controlr, 1)," &
	"2017 (BC_2, IO_PAD101, output3, X, 2016, 1, PULL1)," & --  PAD101
	"2018 (BC_2, IO_PAD101, input, X)," & --  PAD101
	"2019 (BC_2, *, controlr, 1)," &
	"2020 (BC_2, IO_PAD100, output3, X, 2019, 1, PULL1)," & --  PAD100
	"2021 (BC_2, IO_PAD100, input, X)," & --  PAD100
	"2022 (BC_2, *, controlr, 1)," &
	"2023 (BC_2, IO_PAD99, output3, X, 2022, 1, PULL1)," & --  PAD99
	"2024 (BC_2, IO_PAD99, input, X)," & --  PAD99
	"2025 (BC_2, *, controlr, 1)," &
	"2026 (BC_2, IO_PAD98, output3, X, 2025, 1, PULL1)," & --  PAD98
	"2027 (BC_2, IO_PAD98, input, X)," & --  PAD98
	"2028 (BC_2, *, controlr, 1)," &
	"2029 (BC_2, IO_PAD97, output3, X, 2028, 1, PULL1)," & --  PAD97
	"2030 (BC_2, IO_PAD97, input, X)," & --  PAD97
	"2031 (BC_2, *, controlr, 1)," &
	"2032 (BC_2, IO_PAD96, output3, X, 2031, 1, PULL1)," & --  PAD96
	"2033 (BC_2, IO_PAD96, input, X)," & --  PAD96
	"2034 (BC_2, *, controlr, 1)," &
	"2035 (BC_2, IO_PAD95, output3, X, 2034, 1, PULL1)," & --  PAD95
	"2036 (BC_2, IO_PAD95, input, X)," & --  PAD95
	"2037 (BC_2, *, controlr, 1)," &
	"2038 (BC_2, IO_PAD94, output3, X, 2037, 1, PULL1)," & --  PAD94
	"2039 (BC_2, IO_PAD94, input, X)," & --  PAD94
	"2040 (BC_2, *, controlr, 1)," &
	"2041 (BC_2, IO_PAD93, output3, X, 2040, 1, PULL1)," & --  PAD93
	"2042 (BC_2, IO_PAD93, input, X)," & --  PAD93
	"2043 (BC_2, *, controlr, 1)," &
	"2044 (BC_2, IO_PAD92, output3, X, 2043, 1, PULL1)," & --  PAD92
	"2045 (BC_2, IO_PAD92, input, X)," & --  PAD92
	"2046 (BC_2, *, controlr, 1)," &
	"2047 (BC_2, IO_PAD91, output3, X, 2046, 1, PULL1)," & --  PAD91
	"2048 (BC_2, IO_PAD91, input, X)," & --  PAD91
	"2049 (BC_2, *, controlr, 1)," &
	"2050 (BC_2, IO_PAD90, output3, X, 2049, 1, PULL1)," & --  PAD90
	"2051 (BC_2, IO_PAD90, input, X)," & --  PAD90
	"2052 (BC_2, *, controlr, 1)," &
	"2053 (BC_2, IO_PAD89, output3, X, 2052, 1, PULL1)," & --  PAD89
	"2054 (BC_2, IO_PAD89, input, X)," & --  PAD89
	"2055 (BC_2, *, controlr, 1)," &
	"2056 (BC_2, IO_PAD88, output3, X, 2055, 1, PULL1)," & --  PAD88
	"2057 (BC_2, IO_PAD88, input, X)," & --  PAD88
	"2058 (BC_2, *, controlr, 1)," &
	"2059 (BC_2, IO_PAD87, output3, X, 2058, 1, PULL1)," & --  PAD87
	"2060 (BC_2, IO_PAD87, input, X)," & --  PAD87
	"2061 (BC_2, *, controlr, 1)," &
	"2062 (BC_2, IO_PAD86, output3, X, 2061, 1, PULL1)," & --  PAD86
	"2063 (BC_2, IO_PAD86, input, X)," & --  PAD86
	"2064 (BC_2, *, controlr, 1)," &
	"2065 (BC_2, IO_PAD85, output3, X, 2064, 1, PULL1)," & --  PAD85
	"2066 (BC_2, IO_PAD85, input, X)," & --  PAD85
	"2067 (BC_2, *, controlr, 1)," &
	"2068 (BC_2, IO_PAD84, output3, X, 2067, 1, PULL1)," & --  PAD84
	"2069 (BC_2, IO_PAD84, input, X)," & --  PAD84
	"2070 (BC_2, *, controlr, 1)," &
	"2071 (BC_2, IO_PAD83, output3, X, 2070, 1, PULL1)," & --  PAD83
	"2072 (BC_2, IO_PAD83, input, X)," & --  PAD83
	"2073 (BC_2, *, controlr, 1)," &
	"2074 (BC_2, IO_PAD82, output3, X, 2073, 1, PULL1)," & --  PAD82
	"2075 (BC_2, IO_PAD82, input, X)," & --  PAD82
	"2076 (BC_2, *, controlr, 1)," &
	"2077 (BC_2, IO_PAD81, output3, X, 2076, 1, PULL1)," & --  PAD81
	"2078 (BC_2, IO_PAD81, input, X)," & --  PAD81
	"2079 (BC_2, *, controlr, 1)," &
	"2080 (BC_2, IO_PAD80, output3, X, 2079, 1, PULL1)," & --  PAD80
	"2081 (BC_2, IO_PAD80, input, X)," & --  PAD80
	"2082 (BC_2, *, controlr, 1)," &
	"2083 (BC_2, IO_PAD79, output3, X, 2082, 1, PULL1)," & --  PAD79
	"2084 (BC_2, IO_PAD79, input, X)," & --  PAD79
	"2085 (BC_2, *, controlr, 1)," &
	"2086 (BC_2, IO_PAD78, output3, X, 2085, 1, PULL1)," & --  PAD78
	"2087 (BC_2, IO_PAD78, input, X)," & --  PAD78
	"2088 (BC_2, *, controlr, 1)," &
	"2089 (BC_2, IO_PAD77, output3, X, 2088, 1, PULL1)," & --  PAD77
	"2090 (BC_2, IO_PAD77, input, X)," & --  PAD77
	"2091 (BC_2, *, controlr, 1)," &
	"2092 (BC_2, IO_PAD76, output3, X, 2091, 1, PULL1)," & --  PAD76
	"2093 (BC_2, IO_PAD76, input, X)," & --  PAD76
	"2094 (BC_2, *, controlr, 1)," &
	"2095 (BC_2, IO_PAD75, output3, X, 2094, 1, PULL1)," & --  PAD75
	"2096 (BC_2, IO_PAD75, input, X)," & --  PAD75
	"2097 (BC_2, *, controlr, 1)," &
	"2098 (BC_2, IO_PAD74, output3, X, 2097, 1, PULL1)," & --  PAD74
	"2099 (BC_2, IO_PAD74, input, X)," & --  PAD74
	"2100 (BC_2, *, controlr, 1)," &
	"2101 (BC_2, IO_PAD73, output3, X, 2100, 1, PULL1)," & --  PAD73
	"2102 (BC_2, IO_PAD73, input, X)," & --  PAD73
	"2103 (BC_2, *, controlr, 1)," &
	"2104 (BC_2, IO_PAD72, output3, X, 2103, 1, PULL1)," & --  PAD72
	"2105 (BC_2, IO_PAD72, input, X)," & --  PAD72
	"2106 (BC_2, *, controlr, 1)," &
	"2107 (BC_2, IO_PAD71, output3, X, 2106, 1, PULL1)," & --  PAD71
	"2108 (BC_2, IO_PAD71, input, X)," & --  PAD71
	"2109 (BC_2, *, controlr, 1)," &
	"2110 (BC_2, IO_PAD70, output3, X, 2109, 1, PULL1)," & --  PAD70
	"2111 (BC_2, IO_PAD70, input, X)," & --  PAD70
	"2112 (BC_2, *, controlr, 1)," &
	"2113 (BC_2, IO_PAD69, output3, X, 2112, 1, PULL1)," & --  PAD69
	"2114 (BC_2, IO_PAD69, input, X)," & --  PAD69
	"2115 (BC_2, *, controlr, 1)," &
	"2116 (BC_2, IO_PAD68, output3, X, 2115, 1, PULL1)," & --  PAD68
	"2117 (BC_2, IO_PAD68, input, X)," & --  PAD68
	"2118 (BC_2, *, controlr, 1)," &
	"2119 (BC_2, IO_PAD67, output3, X, 2118, 1, PULL1)," & --  PAD67
	"2120 (BC_2, IO_PAD67, input, X)," & --  PAD67
	"2121 (BC_2, *, controlr, 1)," &
	"2122 (BC_2, IO_PAD66, output3, X, 2121, 1, PULL1)," & --  PAD66
	"2123 (BC_2, IO_PAD66, input, X)," & --  PAD66
	"2124 (BC_2, *, controlr, 1)," &
	"2125 (BC_2, IO_PAD65, output3, X, 2124, 1, PULL1)," & --  PAD65
	"2126 (BC_2, IO_PAD65, input, X)," & --  PAD65
	"2127 (BC_2, *, controlr, 1)," &
	"2128 (BC_2, IO_PAD64, output3, X, 2127, 1, PULL1)," & --  PAD64
	"2129 (BC_2, IO_PAD64, input, X)," & --  PAD64
	"2130 (BC_2, *, controlr, 1)," &
	"2131 (BC_2, IO_PAD63, output3, X, 2130, 1, PULL1)," & --  PAD63
	"2132 (BC_2, IO_PAD63, input, X)," & --  PAD63
	"2133 (BC_2, *, controlr, 1)," &
	"2134 (BC_2, IO_PAD62, output3, X, 2133, 1, PULL1)," & --  PAD62
	"2135 (BC_2, IO_PAD62, input, X)," & --  PAD62
	"2136 (BC_2, *, controlr, 1)," &
	"2137 (BC_2, IO_PAD61, output3, X, 2136, 1, PULL1)," & --  PAD61
	"2138 (BC_2, IO_PAD61, input, X)," & --  PAD61
	"2139 (BC_2, *, controlr, 1)," &
	"2140 (BC_2, IO_PAD60, output3, X, 2139, 1, PULL1)," & --  PAD60
	"2141 (BC_2, IO_PAD60, input, X)," & --  PAD60
	"2142 (BC_2, *, controlr, 1)," &
	"2143 (BC_2, IO_PAD59, output3, X, 2142, 1, PULL1)," & --  PAD59
	"2144 (BC_2, IO_PAD59, input, X)," & --  PAD59
	"2145 (BC_2, *, controlr, 1)," &
	"2146 (BC_2, IO_PAD58, output3, X, 2145, 1, PULL1)," & --  PAD58
	"2147 (BC_2, IO_PAD58, input, X)," & --  PAD58
	"2148 (BC_2, *, controlr, 1)," &
	"2149 (BC_2, IO_PAD57, output3, X, 2148, 1, PULL1)," & --  PAD57
	"2150 (BC_2, IO_PAD57, input, X)," & --  PAD57
	"2151 (BC_2, *, controlr, 1)," &
	"2152 (BC_2, IO_PAD56, output3, X, 2151, 1, PULL1)," & --  PAD56
	"2153 (BC_2, IO_PAD56, input, X)," & --  PAD56
	"2154 (BC_2, *, controlr, 1)," &
	"2155 (BC_2, IO_PAD55, output3, X, 2154, 1, PULL1)," & --  PAD55
	"2156 (BC_2, IO_PAD55, input, X)," & --  PAD55
	"2157 (BC_2, *, controlr, 1)," &
	"2158 (BC_2, IO_PAD54, output3, X, 2157, 1, PULL1)," & --  PAD54
	"2159 (BC_2, IO_PAD54, input, X)," & --  PAD54
	"2160 (BC_2, *, controlr, 1)," &
	"2161 (BC_2, IO_PAD53, output3, X, 2160, 1, PULL1)," & --  PAD53
	"2162 (BC_2, IO_PAD53, input, X)," & --  PAD53
	"2163 (BC_2, *, controlr, 1)," &
	"2164 (BC_2, IO_PAD52, output3, X, 2163, 1, PULL1)," & --  PAD52
	"2165 (BC_2, IO_PAD52, input, X)," & --  PAD52
	"2166 (BC_2, *, controlr, 1)," &
	"2167 (BC_2, IO_PAD51, output3, X, 2166, 1, PULL1)," & --  PAD51
	"2168 (BC_2, IO_PAD51, input, X)," & --  PAD51
	"2169 (BC_2, *, controlr, 1)," &
	"2170 (BC_2, IO_PAD50, output3, X, 2169, 1, PULL1)," & --  PAD50
	"2171 (BC_2, IO_PAD50, input, X)," & --  PAD50
	"2172 (BC_2, *, controlr, 1)," &
	"2173 (BC_2, IO_PAD49, output3, X, 2172, 1, PULL1)," & --  PAD49
	"2174 (BC_2, IO_PAD49, input, X)," & --  PAD49
	"2175 (BC_2, *, controlr, 1)," &
	"2176 (BC_2, IO_PAD48, output3, X, 2175, 1, PULL1)," & --  PAD48
	"2177 (BC_2, IO_PAD48, input, X)," & --  PAD48
	"2178 (BC_2, *, controlr, 1)," &
	"2179 (BC_2, IO_PAD47, output3, X, 2178, 1, PULL1)," & --  PAD47
	"2180 (BC_2, IO_PAD47, input, X)," & --  PAD47
	"2181 (BC_2, *, controlr, 1)," &
	"2182 (BC_2, IO_PAD46, output3, X, 2181, 1, PULL1)," & --  PAD46
	"2183 (BC_2, IO_PAD46, input, X)," & --  PAD46
	"2184 (BC_2, *, controlr, 1)," &
	"2185 (BC_2, IO_PAD45, output3, X, 2184, 1, PULL1)," & --  PAD45
	"2186 (BC_2, IO_PAD45, input, X)," & --  PAD45
	"2187 (BC_2, *, controlr, 1)," &
	"2188 (BC_2, IO_PAD44, output3, X, 2187, 1, PULL1)," & --  PAD44
	"2189 (BC_2, IO_PAD44, input, X)," & --  PAD44
	"2190 (BC_2, *, controlr, 1)," &
	"2191 (BC_2, IO_PAD43, output3, X, 2190, 1, PULL1)," & --  PAD43
	"2192 (BC_2, IO_PAD43, input, X)," & --  PAD43
	"2193 (BC_2, *, controlr, 1)," &
	"2194 (BC_2, IO_PAD42, output3, X, 2193, 1, PULL1)," & --  PAD42
	"2195 (BC_2, IO_PAD42, input, X)," & --  PAD42
	"2196 (BC_2, *, controlr, 1)," &
	"2197 (BC_2, IO_PAD41, output3, X, 2196, 1, PULL1)," & --  PAD41
	"2198 (BC_2, IO_PAD41, input, X)," & --  PAD41
	"2199 (BC_2, *, controlr, 1)," &
	"2200 (BC_2, IO_PAD40, output3, X, 2199, 1, PULL1)," & --  PAD40
	"2201 (BC_2, IO_PAD40, input, X)," & --  PAD40
	"2202 (BC_2, *, controlr, 1)," &
	"2203 (BC_2, IO_PAD39, output3, X, 2202, 1, PULL1)," & --  PAD39
	"2204 (BC_2, IO_PAD39, input, X)," & --  PAD39
	"2205 (BC_2, *, controlr, 1)," &
	"2206 (BC_2, IO_PAD38, output3, X, 2205, 1, PULL1)," & --  PAD38
	"2207 (BC_2, IO_PAD38, input, X)," & --  PAD38
	"2208 (BC_2, *, controlr, 1)," &
	"2209 (BC_2, IO_PAD37, output3, X, 2208, 1, PULL1)," & --  PAD37
	"2210 (BC_2, IO_PAD37, input, X)," & --  PAD37
	"2211 (BC_2, *, controlr, 1)," &
	"2212 (BC_2, IO_PAD36, output3, X, 2211, 1, PULL1)," & --  PAD36
	"2213 (BC_2, IO_PAD36, input, X)," & --  PAD36
	"2214 (BC_2, *, controlr, 1)," &
	"2215 (BC_2, IO_PAD35, output3, X, 2214, 1, PULL1)," & --  PAD35
	"2216 (BC_2, IO_PAD35, input, X)," & --  PAD35
	"2217 (BC_2, *, controlr, 1)," &
	"2218 (BC_2, IO_PAD34, output3, X, 2217, 1, PULL1)," & --  PAD34
	"2219 (BC_2, IO_PAD34, input, X)," & --  PAD34
	"2220 (BC_2, *, controlr, 1)," &
	"2221 (BC_2, IO_PAD33, output3, X, 2220, 1, PULL1)," & --  PAD33
	"2222 (BC_2, IO_PAD33, input, X)," & --  PAD33
	"2223 (BC_2, *, controlr, 1)," &
	"2224 (BC_2, IO_PAD32, output3, X, 2223, 1, PULL1)," & --  PAD32
	"2225 (BC_2, IO_PAD32, input, X)," & --  PAD32
	"2226 (BC_2, *, controlr, 1)," &
	"2227 (BC_2, IO_PAD31, output3, X, 2226, 1, PULL1)," & --  PAD31
	"2228 (BC_2, IO_PAD31, input, X)," & --  PAD31
	"2229 (BC_2, *, controlr, 1)," &
	"2230 (BC_2, IO_PAD30, output3, X, 2229, 1, PULL1)," & --  PAD30
	"2231 (BC_2, IO_PAD30, input, X)," & --  PAD30
	"2232 (BC_2, *, controlr, 1)," &
	"2233 (BC_2, IO_PAD29, output3, X, 2232, 1, PULL1)," & --  PAD29
	"2234 (BC_2, IO_PAD29, input, X)," & --  PAD29
	"2235 (BC_2, *, controlr, 1)," &
	"2236 (BC_2, IO_PAD28, output3, X, 2235, 1, PULL1)," & --  PAD28
	"2237 (BC_2, IO_PAD28, input, X)," & --  PAD28
	"2238 (BC_2, *, controlr, 1)," &
	"2239 (BC_2, IO_PAD27, output3, X, 2238, 1, PULL1)," & --  PAD27
	"2240 (BC_2, IO_PAD27, input, X)," & --  PAD27
	"2241 (BC_2, *, controlr, 1)," &
	"2242 (BC_2, IO_PAD26, output3, X, 2241, 1, PULL1)," & --  PAD26
	"2243 (BC_2, IO_PAD26, input, X)," & --  PAD26
	"2244 (BC_2, *, controlr, 1)," &
	"2245 (BC_2, IO_PAD25, output3, X, 2244, 1, PULL1)," & --  PAD25
	"2246 (BC_2, IO_PAD25, input, X)," & --  PAD25
	"2247 (BC_2, *, controlr, 1)," &
	"2248 (BC_2, IO_PAD24, output3, X, 2247, 1, PULL1)," & --  PAD24
	"2249 (BC_2, IO_PAD24, input, X)," & --  PAD24
	"2250 (BC_2, *, controlr, 1)," &
	"2251 (BC_2, IO_PAD23, output3, X, 2250, 1, PULL1)," & --  PAD23
	"2252 (BC_2, IO_PAD23, input, X)," & --  PAD23
	"2253 (BC_2, *, controlr, 1)," &
	"2254 (BC_2, IO_PAD22, output3, X, 2253, 1, PULL1)," & --  PAD22
	"2255 (BC_2, IO_PAD22, input, X)," & --  PAD22
	"2256 (BC_2, *, controlr, 1)," &
	"2257 (BC_2, IO_PAD21, output3, X, 2256, 1, PULL1)," & --  PAD21
	"2258 (BC_2, IO_PAD21, input, X)," & --  PAD21
	"2259 (BC_2, *, controlr, 1)," &
	"2260 (BC_2, IO_PAD20, output3, X, 2259, 1, PULL1)," & --  PAD20
	"2261 (BC_2, IO_PAD20, input, X)," & --  PAD20
	"2262 (BC_2, *, controlr, 1)," &
	"2263 (BC_2, IO_PAD19, output3, X, 2262, 1, PULL1)," & --  PAD19
	"2264 (BC_2, IO_PAD19, input, X)," & --  PAD19
	"2265 (BC_2, *, controlr, 1)," &
	"2266 (BC_2, IO_PAD18, output3, X, 2265, 1, PULL1)," & --  PAD18
	"2267 (BC_2, IO_PAD18, input, X)," & --  PAD18
	"2268 (BC_2, *, controlr, 1)," &
	"2269 (BC_2, IO_PAD17, output3, X, 2268, 1, PULL1)," & --  PAD17
	"2270 (BC_2, IO_PAD17, input, X)," & --  PAD17
	"2271 (BC_2, *, controlr, 1)," &
	"2272 (BC_2, IO_PAD16, output3, X, 2271, 1, PULL1)," & --  PAD16
	"2273 (BC_2, IO_PAD16, input, X)," & --  PAD16
	"2274 (BC_2, *, controlr, 1)," &
	"2275 (BC_2, IO_PAD15, output3, X, 2274, 1, PULL1)," & --  PAD15
	"2276 (BC_2, IO_PAD15, input, X)," & --  PAD15
	"2277 (BC_2, *, controlr, 1)," &
	"2278 (BC_2, IO_PAD14, output3, X, 2277, 1, PULL1)," & --  PAD14
	"2279 (BC_2, IO_PAD14, input, X)," & --  PAD14
	"2280 (BC_2, *, controlr, 1)," &
	"2281 (BC_2, IO_PAD13, output3, X, 2280, 1, PULL1)," & --  PAD13
	"2282 (BC_2, IO_PAD13, input, X)," & --  PAD13
	"2283 (BC_2, *, controlr, 1)," &
	"2284 (BC_2, IO_PAD12, output3, X, 2283, 1, PULL1)," & --  PAD12
	"2285 (BC_2, IO_PAD12, input, X)," & --  PAD12
	"2286 (BC_2, *, controlr, 1)," &
	"2287 (BC_2, IO_PAD11, output3, X, 2286, 1, PULL1)," & --  PAD11
	"2288 (BC_2, IO_PAD11, input, X)," & --  PAD11
	"2289 (BC_2, *, controlr, 1)," &
	"2290 (BC_2, IO_PAD10, output3, X, 2289, 1, PULL1)," & --  PAD10
	"2291 (BC_2, IO_PAD10, input, X)," & --  PAD10
	"2292 (BC_2, *, controlr, 1)," &
	"2293 (BC_2, IO_PAD9, output3, X, 2292, 1, PULL1)," & --  PAD9
	"2294 (BC_2, IO_PAD9, input, X)," & --  PAD9
	"2295 (BC_2, *, controlr, 1)," &
	"2296 (BC_2, IO_PAD8, output3, X, 2295, 1, PULL1)," & --  PAD8
	"2297 (BC_2, IO_PAD8, input, X)," & --  PAD8
	"2298 (BC_2, *, controlr, 1)," &
	"2299 (BC_2, IO_PAD7, output3, X, 2298, 1, PULL1)," & --  PAD7
	"2300 (BC_2, IO_PAD7, input, X)," & --  PAD7
	"2301 (BC_2, *, controlr, 1)," &
	"2302 (BC_2, IO_PAD6, output3, X, 2301, 1, PULL1)," & --  PAD6
	"2303 (BC_2, IO_PAD6, input, X)," & --  PAD6
	"2304 (BC_2, *, controlr, 1)," &
	"2305 (BC_2, IO_PAD5, output3, X, 2304, 1, PULL1)," & --  PAD5
	"2306 (BC_2, IO_PAD5, input, X)," & --  PAD5
	"2307 (BC_2, *, controlr, 1)," &
	"2308 (BC_2, IO_PAD4, output3, X, 2307, 1, PULL1)," & --  PAD4
	"2309 (BC_2, IO_PAD4, input, X)," & --  PAD4
	"2310 (BC_2, *, controlr, 1)," &
	"2311 (BC_2, IO_PAD3, output3, X, 2310, 1, PULL1)," & --  PAD3
	"2312 (BC_2, IO_PAD3, input, X)," & --  PAD3
	"2313 (BC_2, *, controlr, 1)," &
	"2314 (BC_2, IO_PAD2, output3, X, 2313, 1, PULL1)," & --  PAD2
	"2315 (BC_2, IO_PAD2, input, X)," & --  PAD2
	"2316 (BC_2, *, controlr, 1)," &
	"2317 (BC_2, IO_PAD1, output3, X, 2316, 1, PULL1)," & --  PAD1
	"2318 (BC_2, IO_PAD1, input, X)," & --  PAD1
	"2319 (BC_2, *, internal, X)," &
	"2320 (BC_2, *, internal, X)," &
	"2321 (BC_2, *, internal, X)," &
	"2322 (BC_2, *, internal, X)," &
	"2323 (BC_2, *, internal, X)," &
	"2324 (BC_2, *, internal, X)," &
	"2325 (BC_2, *, internal, X)," &
	"2326 (BC_2, *, internal, X)," &
	"2327 (BC_2, *, internal, X)," &
	"2328 (BC_2, *, internal, X)," &
	"2329 (BC_4, MGTRXN0_100, OBSERVE_ONLY, X)," &
	"2330 (BC_4, MGTRXP0_100, OBSERVE_ONLY, X)," &
	"2331 (AC_2, MGTTXP0_100, OUTPUT2, X)," &
	"2332 (BC_4, MGTRXN1_100, OBSERVE_ONLY, X)," &
	"2333 (BC_4, MGTRXP1_100, OBSERVE_ONLY, X)," &
	"2334 (AC_2, MGTTXP1_100, OUTPUT2, X)," &
	"2335 (BC_4, MGTRXN2_100, OBSERVE_ONLY, X)," &
	"2336 (BC_4, MGTRXP2_100, OBSERVE_ONLY, X)," &
	"2337 (AC_2, MGTTXP2_100, OUTPUT2, X)," &
	"2338 (BC_4, MGTRXN3_100, OBSERVE_ONLY, X)," &
	"2339 (BC_4, MGTRXP3_100, OBSERVE_ONLY, X)," &
	"2340 (AC_2, MGTTXP3_100, OUTPUT2, X)," &
	"2341 (BC_4, MGTRXN0_101, OBSERVE_ONLY, X)," &
	"2342 (BC_4, MGTRXP0_101, OBSERVE_ONLY, X)," &
	"2343 (AC_2, MGTTXP0_101, OUTPUT2, X)," &
	"2344 (BC_4, MGTRXN1_101, OBSERVE_ONLY, X)," &
	"2345 (BC_4, MGTRXP1_101, OBSERVE_ONLY, X)," &
	"2346 (AC_2, MGTTXP1_101, OUTPUT2, X)," &
	"2347 (BC_4, MGTRXN2_101, OBSERVE_ONLY, X)," &
	"2348 (BC_4, MGTRXP2_101, OBSERVE_ONLY, X)," &
	"2349 (AC_2, MGTTXP2_101, OUTPUT2, X)," &
	"2350 (BC_4, MGTRXN3_101, OBSERVE_ONLY, X)," &
	"2351 (BC_4, MGTRXP3_101, OBSERVE_ONLY, X)," &
	"2352 (AC_2, MGTTXP3_101, OUTPUT2, X)," &
	"2353 (BC_4, MGTRXN0_102, OBSERVE_ONLY, X)," &
	"2354 (BC_4, MGTRXP0_102, OBSERVE_ONLY, X)," &
	"2355 (AC_2, MGTTXP0_102, OUTPUT2, X)," &
	"2356 (BC_4, MGTRXN1_102, OBSERVE_ONLY, X)," &
	"2357 (BC_4, MGTRXP1_102, OBSERVE_ONLY, X)," &
	"2358 (AC_2, MGTTXP1_102, OUTPUT2, X)," &
	"2359 (BC_4, MGTRXN2_102, OBSERVE_ONLY, X)," &
	"2360 (BC_4, MGTRXP2_102, OBSERVE_ONLY, X)," &
	"2361 (AC_2, MGTTXP2_102, OUTPUT2, X)," &
	"2362 (BC_4, MGTRXN3_102, OBSERVE_ONLY, X)," &
	"2363 (BC_4, MGTRXP3_102, OBSERVE_ONLY, X)," &
	"2364 (AC_2, MGTTXP3_102, OUTPUT2, X)," &
	"2365 (BC_4, MGTRXN0_103, OBSERVE_ONLY, X)," &
	"2366 (BC_4, MGTRXP0_103, OBSERVE_ONLY, X)," &
	"2367 (AC_2, MGTTXP0_103, OUTPUT2, X)," &
	"2368 (BC_4, MGTRXN1_103, OBSERVE_ONLY, X)," &
	"2369 (BC_4, MGTRXP1_103, OBSERVE_ONLY, X)," &
	"2370 (AC_2, MGTTXP1_103, OUTPUT2, X)," &
	"2371 (BC_4, MGTRXN2_103, OBSERVE_ONLY, X)," &
	"2372 (BC_4, MGTRXP2_103, OBSERVE_ONLY, X)," &
	"2373 (AC_2, MGTTXP2_103, OUTPUT2, X)," &
	"2374 (BC_4, MGTRXN3_103, OBSERVE_ONLY, X)," &
	"2375 (BC_4, MGTRXP3_103, OBSERVE_ONLY, X)," &
	"2376 (AC_2, MGTTXP3_103, OUTPUT2, X)," &
	"2377 (BC_4, MGTRXN0_104, OBSERVE_ONLY, X)," &
	"2378 (BC_4, MGTRXP0_104, OBSERVE_ONLY, X)," &
	"2379 (AC_2, MGTTXP0_104, OUTPUT2, X)," &
	"2380 (BC_4, MGTRXN1_104, OBSERVE_ONLY, X)," &
	"2381 (BC_4, MGTRXP1_104, OBSERVE_ONLY, X)," &
	"2382 (AC_2, MGTTXP1_104, OUTPUT2, X)," &
	"2383 (BC_4, MGTRXN2_104, OBSERVE_ONLY, X)," &
	"2384 (BC_4, MGTRXP2_104, OBSERVE_ONLY, X)," &
	"2385 (AC_2, MGTTXP2_104, OUTPUT2, X)," &
	"2386 (BC_4, MGTRXN3_104, OBSERVE_ONLY, X)," &
	"2387 (BC_4, MGTRXP3_104, OBSERVE_ONLY, X)," &
	"2388 (AC_2, MGTTXP3_104, OUTPUT2, X)," &
	"2389 (BC_4, MGTRXN0_105, OBSERVE_ONLY, X)," &
	"2390 (BC_4, MGTRXP0_105, OBSERVE_ONLY, X)," &
	"2391 (AC_2, MGTTXP0_105, OUTPUT2, X)," &
	"2392 (BC_4, MGTRXN1_105, OBSERVE_ONLY, X)," &
	"2393 (BC_4, MGTRXP1_105, OBSERVE_ONLY, X)," &
	"2394 (AC_2, MGTTXP1_105, OUTPUT2, X)," &
	"2395 (BC_4, MGTRXN2_105, OBSERVE_ONLY, X)," &
	"2396 (BC_4, MGTRXP2_105, OBSERVE_ONLY, X)," &
	"2397 (AC_2, MGTTXP2_105, OUTPUT2, X)," &
	"2398 (BC_4, MGTRXN3_105, OBSERVE_ONLY, X)," &
	"2399 (BC_4, MGTRXP3_105, OBSERVE_ONLY, X)," &
	"2400 (AC_2, MGTTXP3_105, OUTPUT2, X)," &
	"2401 (BC_4, MGTRXN2_106, OBSERVE_ONLY, 1)," &
	"2402 (BC_4, MGTRXP2_106, OBSERVE_ONLY, 1)," &
	"2403 (BC_4, MGTRXN3_106, OBSERVE_ONLY, 1)," &
	"2404 (BC_4, MGTRXP3_106, OBSERVE_ONLY, 1)," &
	"2405 (AC_1, MGTTXP2_106, OUTPUT2, 1)," &
	"2406 (AC_1, MGTTXP3_106, OUTPUT2, 1)," &
	"2407 (BC_4, MGTRXN0_106, OBSERVE_ONLY, 1)," &
	"2408 (BC_4, MGTRXP0_106, OBSERVE_ONLY, 1)," &
	"2409 (BC_4, MGTRXN1_106, OBSERVE_ONLY, 1)," &
	"2410 (BC_4, MGTRXP1_106, OBSERVE_ONLY, 1)," &
	"2411 (AC_1, MGTTXP0_106, OUTPUT2, 1)," &
	"2412 (AC_1, MGTTXP1_106, OUTPUT2, 1)," &
	"2413 (BC_4, MGTRXN2_107, OBSERVE_ONLY, X)," &
	"2414 (BC_4, MGTRXP2_107, OBSERVE_ONLY, X)," &
	"2415 (BC_4, MGTRXN3_107, OBSERVE_ONLY, X)," &
	"2416 (BC_4, MGTRXP3_107, OBSERVE_ONLY, X)," &
	"2417 (AC_1, MGTTXP2_107, OUTPUT2, X)," &
	"2418 (AC_1, MGTTXP3_107, OUTPUT2, X)," &
	"2419 (BC_4, MGTRXN0_107, OBSERVE_ONLY, X)," &
	"2420 (BC_4, MGTRXP0_107, OBSERVE_ONLY, X)," &
	"2421 (BC_4, MGTRXN1_107, OBSERVE_ONLY, X)," &
	"2422 (BC_4, MGTRXP1_107, OBSERVE_ONLY, X)," &
	"2423 (AC_1, MGTTXP0_107, OUTPUT2, X)," &
	"2424 (AC_1, MGTTXP1_107, OUTPUT2, X)," &
	"2425 (BC_4, MGTRXN2_108, OBSERVE_ONLY, X)," &
	"2426 (BC_4, MGTRXP2_108, OBSERVE_ONLY, X)," &
	"2427 (BC_4, MGTRXN3_108, OBSERVE_ONLY, X)," &
	"2428 (BC_4, MGTRXP3_108, OBSERVE_ONLY, X)," &
	"2429 (AC_1, MGTTXP2_108, OUTPUT2, X)," &
	"2430 (AC_1, MGTTXP3_108, OUTPUT2, X)," &
	"2431 (BC_4, MGTRXN0_108, OBSERVE_ONLY, X)," &
	"2432 (BC_4, MGTRXP0_108, OBSERVE_ONLY, X)," &
	"2433 (BC_4, MGTRXN1_108, OBSERVE_ONLY, X)," &
	"2434 (BC_4, MGTRXP1_108, OBSERVE_ONLY, X)," &
	"2435 (AC_1, MGTTXP0_108, OUTPUT2, X)," &
	"2436 (AC_1, MGTTXP1_108, OUTPUT2, X)";


-- Advanced I/O Description

attribute AIO_COMPONENT_CONFORMANCE of XC6VHX380T : entity is
	"STD_1149_6_2003";

attribute AIO_EXTEST_Pulse_Execution of XC6VHX380T : entity is
	"Wait_Duration TCK 15";

attribute AIO_EXTEST_Train_Execution of XC6VHX380T : entity is
	"train 30, maximum_time 120.0e-6";

attribute AIO_Pin_Behavior of XC6VHX380T : entity is
"MGTRXP0_100 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_101 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_102 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_103 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_104 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_105 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_106 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_107 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_108 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_110 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_111 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_112 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_113 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_114 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_115 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_116 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_117 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP0_118 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_100 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_101 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_102 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_103 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_104 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_105 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_106 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_107 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_108 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_110 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_111 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_112 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_113 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_114 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_115 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_116 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_117 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP1_118 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_100 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_101 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_102 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_103 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_104 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_105 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_106 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_107 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_108 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_110 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_111 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_112 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_113 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_114 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_115 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_116 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_117 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP2_118 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_100 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_101 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_102 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_103 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_104 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_105 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_106 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_107 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_108 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_110 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_111 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_112 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_113 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_114 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_115 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_116 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_117 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTRXP3_118 : LP_time=22.5e-9 HP_time=45.0e-9; " &
"MGTTXP0_100; " &
"MGTTXP0_101; " &
"MGTTXP0_102; " &
"MGTTXP0_103; " &
"MGTTXP0_104; " &
"MGTTXP0_105; " &
"MGTTXP0_106; " &
"MGTTXP0_107; " &
"MGTTXP0_108; " &
"MGTTXP0_110; " &
"MGTTXP0_111; " &
"MGTTXP0_112; " &
"MGTTXP0_113; " &
"MGTTXP0_114; " &
"MGTTXP0_115; " &
"MGTTXP0_116; " &
"MGTTXP0_117; " &
"MGTTXP0_118; " &
"MGTTXP1_100; " &
"MGTTXP1_101; " &
"MGTTXP1_102; " &
"MGTTXP1_103; " &
"MGTTXP1_104; " &
"MGTTXP1_105; " &
"MGTTXP1_106; " &
"MGTTXP1_107; " &
"MGTTXP1_108; " &
"MGTTXP1_110; " &
"MGTTXP1_111; " &
"MGTTXP1_112; " &
"MGTTXP1_113; " &
"MGTTXP1_114; " &
"MGTTXP1_115; " &
"MGTTXP1_116; " &
"MGTTXP1_117; " &
"MGTTXP1_118; " &
"MGTTXP2_100; " &
"MGTTXP2_101; " &
"MGTTXP2_102; " &
"MGTTXP2_103; " &
"MGTTXP2_104; " &
"MGTTXP2_105; " &
"MGTTXP2_106; " &
"MGTTXP2_107; " &
"MGTTXP2_108; " &
"MGTTXP2_110; " &
"MGTTXP2_111; " &
"MGTTXP2_112; " &
"MGTTXP2_113; " &
"MGTTXP2_114; " &
"MGTTXP2_115; " &
"MGTTXP2_116; " &
"MGTTXP2_117; " &
"MGTTXP2_118; " &
"MGTTXP3_100; " &
"MGTTXP3_101; " &
"MGTTXP3_102; " &
"MGTTXP3_103; " &
"MGTTXP3_104; " &
"MGTTXP3_105; " &
"MGTTXP3_106; " &
"MGTTXP3_107; " &
"MGTTXP3_108; " &
"MGTTXP3_110; " &
"MGTTXP3_111; " &
"MGTTXP3_112; " &
"MGTTXP3_113; " &
"MGTTXP3_114; " &
"MGTTXP3_115; " &
"MGTTXP3_116; " &
"MGTTXP3_117; " &
"MGTTXP3_118 ";

-- Design Warning Section

attribute DESIGN_WARNING of XC6VHX380T : entity is
        "This is a preliminary BSDL file which has not been verified." &
	"When no bitstream is loaded and GTPs are not instantiated," &
		"the boundary-scan cells associated with GTPs will not" &
		"capture correct state information.  To model the boundary-" &
		"scan cell behavior correctly post-configuration, use" &
		"BSDLanno to modify the BSDL file." &
        "This BSDL file must be modified by the FPGA designer in order to" &
                "reflect post-configuration behavior (if any)." &
        "To avoid losing the current configuration, the boundary scan" &
                "test vectors should keep the PROGRAM_B pin" &
                "high.  If the PROGRAM_B pin goes low by any means," &
                "the configuration will be cleared." &
        "PROGRAM_B can only be captured, not updated." &
                "The value at the pin is always used by the device." &
        "The disable result of a 3-stated I/O in this file" &
		"corresponds to HSWAPEN being low." &
        "In EXTEST, output and tristate values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
	"Differential Serial IO pins do not support INTEST." &
        "In INTEST, the pin input values are not captured in the" &
                "Capture-DR state - those register cells are unchanged." &
        "The output and tristate capture values are not valid until after" &
                "the device is configured." &
        "The tristate control value is not captured properly when" &
                "GTS is activated." &
	"The IEEE Std 1149.6 EXTEST_PULSE and EXTEST_TRAIN instructions" &
		"require a minimum TCK freq of 15 MHz and min temp of 0C." &
	"NOCONNECT pins should not be connected to any supply" &
		"or GND.  They should be left floating.";

end XC6VHX380T;